交通灯信号控制器的设计Word下载.docx

上传人:b****5 文档编号:18640479 上传时间:2022-12-30 格式:DOCX 页数:10 大小:54.96KB
下载 相关 举报
交通灯信号控制器的设计Word下载.docx_第1页
第1页 / 共10页
交通灯信号控制器的设计Word下载.docx_第2页
第2页 / 共10页
交通灯信号控制器的设计Word下载.docx_第3页
第3页 / 共10页
交通灯信号控制器的设计Word下载.docx_第4页
第4页 / 共10页
交通灯信号控制器的设计Word下载.docx_第5页
第5页 / 共10页
点击查看更多>>
下载资源
资源描述

交通灯信号控制器的设计Word下载.docx

《交通灯信号控制器的设计Word下载.docx》由会员分享,可在线阅读,更多相关《交通灯信号控制器的设计Word下载.docx(10页珍藏版)》请在冰豆网上搜索。

交通灯信号控制器的设计Word下载.docx

提高工程实践能力;

学会应用EDA技术解决一些简单的电子设计问题。

具体要求:

1.设交通灯信号控制器用于主干道与支干道公路的交叉路口,要求是优先保证主干道的畅通。

因此,平时处于"

主干道绿灯,支道红灯"

状态,只有在支道有车辆要穿行主干道时,才将交通灯切向"

主干道红灯,支道绿灯"

,一旦支道无车辆通过路口,交通灯又回到"

状态。

2.主干道和支干道自动循环。

主干道和支道每次通行的时间为30s,而在两个状态交换过程出现的"

主黄,支红"

和"

主红,支黄"

状态,持续时间都为4s。

3. 

手动设置主干道和支道每次通行的时间分别为为30s、40s、50s;

所需仪器设备:

计算机、EDA实验箱、示波器

成果验收形式:

EDA实验箱上连接电路实现整个设计;

验收设计的显示结果及各模块软件程序。

参考文献:

1.徐惠民,安德宁《数字逻辑设计与VHDL描述》机械工业出版社

2.蒋璇,臧春华《数字系统设计与PLD应用技术》电子工业出版社

3.陈赜,朱如琪《在系统可编程技术实践教程》科学出版社

4.黄正槿,徐坚等《CPLD系统设计技术入门与应用》电子工业出版社

5.赵曙光,郭万有等《可编程逻辑器件原理、开发与应用》西安电子科技大学出版社

6.卢毅,赖杰《VHDL与数字电路设计》科学出版社

7.潘松,黄继业《EDA技术实用教程》科学出版社

工作内容及时间进度安排

十一月十号开始分析设计要求,进行VHDL语言的编译,

十二号进行软件仿真,下载,硬件调试,

十三号实现并验收,实践报告。

课程设计成果

1.与设计内容对应的软件程序

2.课程设计报告书

3.成果使用说明书

4.设计工作量要求

一设计过程

(一)设计题目和方案确定

1.设计题目:

2.设计要求:

3设计思路:

(1)传感器状态为主干路传感器支干路传感器,当支路无车时,即传感器开关状态为0001状态时,总保持主干道绿灯支干道红灯状态;

(2)当主路总无车而支路总有车时,即传感器开关为01状态时,总保持主红支绿;

(3)当主干路支干路都有车时,即传感器为11状态时,主路支路轮流切换通行。

当主路绿灯30s切黄灯4s后,主路变红灯,支路变绿灯;

当支路绿灯30s切黄灯4s后,主路变绿灯,支路变红灯。

此两种状态为“主黄,支红”,“主红,支黄”两种状态。

(二)设计项目输入编译和仿真

1设计交通控制器的VHDL文本程序:

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_arith.all

useieee.std_logic_unsigned.all;

entityjtdkzis

port(clk,sens_m,sens_f:

instd_logic;

m,l,n:

rm,ym,gm,rf,yf,gf:

outstd_logic);

endjtdkz;

architecturearcofjtdkzis

typestate_typeis(a,b,c,d);

signalstate:

state_type;

signalk:

std_logic_vector(2downto0);

begin

k<

=m&

l&

n;

cnt:

process(clk,state)

variableg,s:

integerrange0to49;

variablenclr,en:

bit;

begin

ifk<

="

001"

theng:

=29;

elsifk<

010"

=39;

100"

=49;

elseg:

=0;

endif;

if(clk'

eventandclk='

1'

)then

ifnclr='

0'

thens:

elsifen='

=s;

elses:

=s+1;

casestateis

whena=>

rm<

='

;

ym<

gm<

rf<

yf<

gf<

if(sens_fandsens_m)='

then

ifs=gthen

state<

=b;

nclr:

en:

else

=a;

elsif(sens_fand(notsens_m))='

whenb=>

ifs=3then

=c;

whenc=>

=d;

elsifsens_f='

whend=>

endcase;

endprocesscnt;

endarc;

2程序说明:

rmymgm分别表示主干道红黄绿灯,rfyfgf分别表示支道红黄绿灯;

sens_msens_f分别表示主干道支干道传感器。

有车时为1,无车时为0。

mln表示手动控制开关,当001时表示30s控制时间,当010时表示40s控制时间,

当100时表示50s控制时间。

3将模块进行编译,具体如下:

(1)器件的选择:

选择FLEX10K10LC84-3器件。

(2)锁定引脚。

(3)编译。

4.新建一个gdf文件,形成顶层文件,如图所示:

4功能仿真:

创建仿真通道文件;

编辑仿真通道文件;

设计项目的仿真。

新建一个scf文件,生成仿真图,如图所示:

(三)器件编程下载与硬件验证

1.器件编译:

将ByteBlaster电缆的一端与计算机的并行口相连

选择菜单命令MAX+PLUSⅡ/Programmer,打开编译窗口。

如下图所示∶

选择菜单命令Options/HardwareSetup,在HardwareType栏选择ByteBlaster(MV);

栏选择使用并行口(LPT1);

选择OK按钮,回到器件编译窗口。

如下图所示∶ParallelPort中

2.硬件验证:

按锁定的引脚连接好试验箱,接入主干道支干道的红黄绿灯,由控制开关为:

001010100时控制灯的切换时间分别为30秒,40秒,50秒,再由高低电平控制主干道支干道的通车情况,当控制键分别为00011011时,分别表示都无车通行,仅主干道有车,仅支干道有车,都有车通行的情况,可以看到红黄绿灯依次亮灭的情况,当支干道无车时,总处于“主干道绿灯,支干道红灯”状态。

当支道主道都有车时轮流切换通行。

符合实验要求。

二实验总结与心得

在对源程序的不断调试后,终于通过了编译,引脚锁定及下载程序并且在试验箱上得到验证,达到了课程设计的要求。

通过几天的课程设计,我们对eda有了更进一步的了解,从课题给出到设计思路的确定,以及在翻阅资料得同时,我们将课堂上所学到的理论与实践进行了更好得结合,思考问题的角度更加深刻。

对于可编程逻辑器件的了解更加透彻,在编译仿真的过程中,遇到了不少的问题,通过与同学及老师的讨论,都将这些问题一一解决,得到最后的试验结果。

指导教师评语及设计成绩

评语

课程设计成绩:

指导教师:

日期:

年月日

目录

第一章总论1

1.1项目概况1

1.2可行性研究报告编制依据及原则1

1.3可行性研究报告的内容3

第二章项目背景与建设的必要性4

2.1项目建设的背景4

2.2项目建设的必要性5

2.3结论5

第三章效益分析7

3.1社会效益7

3.2经济效益8

3.3环境效益8

3.4评价结论9

第四章项目选址及建设条件10

4.1项目选址10

4.2项目建设地点10

4.3项目建设条件10

第五章项目建设方案17

5.1方案设计原则17

5.2总体方案设计17

5.3道路工程17

5.4平面交叉口设计22

5.5路灯布设23

第六章投资估算与资金筹措24

6.1投资估算24

6.2资金筹措25

第七章环境影响分析26

7.1编制依据26

7.2项目建设与运营对环境影响及治理措施27

7.3环境影响结论30

第八章劳动安全32

8.1影响劳动安全的因素分析32

8.2防护及监控措施33

第九章建设管理34

9.1建设期项目管理34

9.2建设期组织机构34

9.3项目运营期管理35

第十章可行性研究结论与建议36

10.1结论36

10.2建议36

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 人文社科 > 文化宗教

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1