ImageVerifierCode 换一换
格式:DOCX , 页数:10 ,大小:54.96KB ,
资源ID:18640479      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/18640479.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(交通灯信号控制器的设计Word下载.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

交通灯信号控制器的设计Word下载.docx

1、提高工程实践能力;学会应用EDA技术解决一些简单的电子设计问题。具体要求:1设交通灯信号控制器用于主干道与支干道公路的交叉路口,要求是优先保证主干道的畅通。因此,平时处于主干道绿灯,支道红灯状态,只有在支道有车辆要穿行主干道时,才将交通灯切向主干道红灯,支道绿灯,一旦支道无车辆通过路口,交通灯又回到状态。2主干道和支干道自动循环。主干道和支道每次通行的时间为30s,而在两个状态交换过程出现的主黄,支红和主红,支黄状态,持续时间都为4s。3手动设置主干道和支道每次通行的时间分别为为30s、40s、50s;所需仪器设备:计算机、EDA实验箱、示波器成果验收形式:EDA实验箱上连接电路实现整个设计;

2、验收设计的显示结果及各模块软件程序。参考文献:1徐惠民,安德宁 数字逻辑设计与VHDL描述 机械工业出版社2 蒋璇,臧春华 数字系统设计与PLD应用技术电子工业出版社3陈赜,朱如琪 在系统可编程技术实践教程科学出版社4 黄正槿,徐坚等 CPLD系统设计技术入门与应用电子工业出版社5 赵曙光,郭万有等可编程逻辑器件原理、开发与应用 西安电子科技大学出版社6卢毅,赖杰 VHDL与数字电路设计科学出版社7潘松,黄继业 EDA技术实用教程科学出版社工作内容及时间进度安排十一月十号开始分析设计要求,进行VHDL语言的编译,十二号进行软件仿真,下载,硬件调试,十三号实现并验收,实践报告。课程设计成果1与设

3、计内容对应的软件程序2课程设计报告书3成果使用说明书4设计工作量要求一 设计过程 (一)设计题目和方案确定1设计题目:2设计要求:3 设计思路:(1)传感器状态为主干路传感器支干路传感器,当支路无车时,即传感器开关状态为00 01状态时,总保持主干道绿灯支干道红灯状态;(2)当主路总无车而支路总有车时,即传感器开关为01状态时 ,总保持主红支绿;(3)当主干路支干路都有车时,即传感器为11状态时,主路支路轮流切换通行。当主路绿灯30s切黄灯4s后,主路变红灯,支路变绿灯;当支路绿灯30s切黄灯4s后,主路变绿灯,支路变红灯。此两种状态为“主黄,支红”,“主红,支黄”两种状态。(二)设计项目输入

4、编译和仿真 1 设计交通控制器的VHDL文本程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.alluse ieee.std_logic_unsigned.all;entity jtdkz is port(clk,sens_m,sens_f:in std_logic; m,l,n: rm,ym,gm,rf,yf,gf:out std_logic);end jtdkz;architecture arc of jtdkz is type state_type is (a,b,c,d); signal state

5、:state_type; signal k:std_logic_vector(2 downto 0);begin k=m&l&n;cnt:process(clk,state) variable g,s:integer range 0 to 49; variable nclr,en:bit; begin if k=001 then g:=29; elsif krm=;ymgm rfyfgf if(sens_f and sens_m)= then if s=g then state if s=3 then=c; when c=d; elsif sens_f=when d= end case;end

6、 process cnt;end arc;2 程序说明:rm ym gm分别表示主干道红黄绿灯,rf yf gf分别表示支道红黄绿灯;sens_m sens_f分别表示主干道支干道传感器。有车时为1,无车时为0。m l n表示手动控制开关,当001时表示30s控制时间,当010时表示40s控制时间,当100时表示50s控制时间。3 将模块进行编译,具体如下:(1)器件的选择:选择FLEX10K10LC84-3器件。(2)锁定引脚。(3)编译。4.新建一个gdf文件,形成顶层文件,如图所示: 4功能仿真:创建仿真通道文件; 编辑仿真通道文件; 设计项目的仿真。新建一个scf文件,生成仿真图,如图

7、所示:(三) 器件编程下载与硬件验证1. 器件编译:将ByteBlaster电缆的一端与计算机的并行口相连选择菜单命令MAX+PLUS/Programmer,打开编译窗口。如下图所示选择菜单命令Options/Hardware Setup,在Hardware Type栏选择ByteBlaster(MV);在栏选择使用并行口(LPT1);选择OK按钮,回到器件编译窗口。如下图所示Parallel Port中2. 硬件验证:按锁定的引脚连接好试验箱,接入主干道支干道的红黄绿灯,由控制开关为:001 010 100时控制灯的切换时间分别为30秒,40秒,50秒,再由高低电平控制主干道支干道的通车情况

8、,当控制键分别为00 01 10 11 时,分别表示都无车通行,仅主干道有车,仅支干道有车,都有车通行的情况,可以看到红黄绿灯依次亮灭的情况,当支干道无车时,总处于“主干道绿灯,支干道红灯”状态。当支道主道都有车时轮流切换通行。符合实验要求。二 实验总结与心得在对源程序的不断调试后,终于通过了编译,引脚锁定及下载程序并且在试验箱上得到验证,达到了课程设计的要求。通过几天的课程设计,我们对eda有了更进一步的了解,从课题给出到设计思路的确定,以及在翻阅资料得同时,我们将课堂上所学到的理论与实践进行了更好得结合,思考问题的角度更加深刻。对于可编程逻辑器件的了解更加透彻,在编译仿真的过程中,遇到了不

9、少的问题,通过与同学及老师的讨论,都将这些问题一一解决,得到最后的试验结果。指导教师评语及设计成绩 评 语 课程设计成绩: 指导教师:日期: 年 月 日目录第一章 总 论 11.1 项目概况 11.2 可行性研究报告编制依据及原则 11.3 可行性研究报告的内容 3第二章 项目背景与建设的必要性 42.1 项目建设的背景 42.2 项目建设的必要性 52.3 结论 5第三章 效益分析 73.1 社会效益 73.2 经济效益 83.3 环境效益 83.4 评价结论 9第四章 项目选址及建设条件 104.1 项目选址 104.2 项目建设地点 104.3 项目建设条件 10第五章 项目建设方案 175.1方案设计原则 175.2 总体方案设计 175.3 道路工程 175.4 平面交叉口设计 225.5路灯布设 23第六章 投资估算与资金筹措 246.1 投资估算 246.2 资金筹措 25第七章 环境影响分析 267.1 编制依据 267.2 项目建设与运营对环境影响及治理措施 277.3环境影响结论 30第八章 劳动安全 328.1 影响劳动安全的因素分析 328.2 防护及监控措施 33第九章 建设管理 349.1 建设期项目管理 349.2 建设期组织机构 349.3项目运营期管理 35第十章 可行性研究结论与建议 3610.1结论 3610.2建议 36

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1