1616点阵字模显示全部程序.docx

上传人:b****6 文档编号:7797994 上传时间:2023-01-26 格式:DOCX 页数:24 大小:17.78KB
下载 相关 举报
1616点阵字模显示全部程序.docx_第1页
第1页 / 共24页
1616点阵字模显示全部程序.docx_第2页
第2页 / 共24页
1616点阵字模显示全部程序.docx_第3页
第3页 / 共24页
1616点阵字模显示全部程序.docx_第4页
第4页 / 共24页
1616点阵字模显示全部程序.docx_第5页
第5页 / 共24页
点击查看更多>>
下载资源
资源描述

1616点阵字模显示全部程序.docx

《1616点阵字模显示全部程序.docx》由会员分享,可在线阅读,更多相关《1616点阵字模显示全部程序.docx(24页珍藏版)》请在冰豆网上搜索。

1616点阵字模显示全部程序.docx

1616点阵字模显示全部程序

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYCOUNT32IS

PORT(CLK1:

INSTD_LOGIC;

QOUT1:

OUTSTD_LOGIC_VECTOR(4DOWNTO0));

ENDENTITYCOUNT32;

ARCHITECTUREBEHVOFCOUNT32IS

SIGNALCQI:

STD_LOGIC_VECTOR(4DOWNTO0);

BEGIN

PROCESS(CLK1)

BEGIN

IF(CLK1'EVENTANDCLK1='1')THEN

CQI<=CQI+1;

ENDIF;

QOUT1<=CQI;

ENDPROCESS;

ENDARCHITECTUREBEHV;

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYCOUNT16IS

PORT(CLK:

INSTD_LOGIC;

QOUT:

OUTSTD_LOGIC_VECTOR(3DOWNTO0));

ENDENTITYCOUNT16;

ARCHITECTUREBEHVOFCOUNT16IS

SIGNALCQI:

STD_LOGIC_VECTOR(3DOWNTO0);

BEGIN

PROCESS(CLK)

BEGIN

IF(CLK'EVENTANDCLK='1')THEN

CQI<=CQI+1;

ENDIF;

QOUT<=CQI;

ENDPROCESS;

ENDARCHITECTUREBEHV;

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYROWCONIS

PORT(DATAIN:

INSTD_LOGIC_VECTOR(3DOWNTO0);

ROW:

OUTSTD_LOGIC_VECTOR(15DOWNTO0));

ENDENTITYROWCON;

ARCHITECTUREBEHVOFROWCONIS

BEGIN

PROCESS(DATAIN)

BEGIN

CASEDATAINIS

WHEN"0000"=>ROW<="1000000000000000";

WHEN"0001"=>ROW<="0100000000000000";

WHEN"0010"=>ROW<="0010000000000000";

WHEN"0011"=>ROW<="0001000000000000";

WHEN"0100"=>ROW<="0000100000000000";

WHEN"0101"=>ROW<="0000010000000000";

WHEN"0110"=>ROW<="0000001000000000";

WHEN"0111"=>ROW<="0000000100000000";

WHEN"1000"=>ROW<="0000000010000000";

WHEN"1001"=>ROW<="0000000001000000";

WHEN"1010"=>ROW<="0000000000100000";

WHEN"1011"=>ROW<="0000000000010000";

WHEN"1100"=>ROW<="0000000000001000";

WHEN"1101"=>ROW<="0000000000000100";

WHEN"1110"=>ROW<="0000000000000010";

WHEN"1111"=>ROW<="0000000000000001";

WHENOTHERS=>ROW<="0000000000000000";

ENDCASE;ENDPROCESS;

ENDARCHITECTUREBEHV;

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYXIANSHIIS

PORT(WEI:

INSTD_LOGIC_VECTOR(3downto0);

SHI:

INSTD_LOGIC_VECTOR(4downto0);

Q:

OUTSTD_LOGIC_VECTOR(15downto0));

ENDXIANSHI;

ARCHITECTUREBEHV2OFXIANSHIIS

BEGIN

PROCESS(SHI,WEI)

VARIABLEb:

STD_LOGIC_VECTOR(15downto0);

BEGIN

CASESHIis

When"00000"=>

CASEWEIis

when"0000"=>b:

="0100000000000000";

when"0001"=>b:

="0011000000001000";

when"0010"=>b:

="0001011111111100";

when"0011"=>b:

="0000000001000000";

when"0100"=>b:

="1000000001000000";

when"0101"=>b:

="0110000001000000";

when"0110"=>b:

="0010000001000000";

when"0111"=>b:

="0000100001000000";

when"1000"=>b:

="0001000001000000";

when"1001"=>b:

="0010000001000000";

when"1010"=>b:

="1110000001000000";

when"1011"=>b:

="0010000001000000";

when"1100"=>b:

="0010000001001000";

when"1101"=>b:

="0010111111111100";

when"1110"=>b:

="0010000000000000";

when"1111"=>b:

="0010000000000000";

whenothers=>null;ENDCASE;

When"00001"=>

CASEWEIis

when"0000"=>b:

="0000000000000000";

when"0001"=>b:

="0000000000000100";

when"0010"=>b:

="1111111111111110";

when"0011"=>b:

="0000010010000000";

when"0100"=>b:

="0000010010000000";

when"0101"=>b:

="0000010010000100";

when"0110"=>b:

="0111111111111110";

when"0111"=>b:

="0100010001000100";

when"1000"=>b:

="0100010001000100";

when"1001"=>b:

="0100010001000100";

when"1010"=>b:

="0100010001000100";

when"1011"=>b:

="0100100000110100";

when"1100"=>b:

="0101000000000100";

when"1101"=>b:

="0100000000000100";

when"1110"=>b:

="0111111111111100";

when"1111"=>b:

="0100000000000100";

whenothers=>null;ENDCASE;

When"00010"=>

CASEWEIis

when"0000"=>b:

="0000000000001000";

when"0001"=>b:

="0000011111111000";

when"0010"=>b:

="1111101001001000";

when"0011"=>b:

="0010001001001000";

when"0100"=>b:

="0010011111111100";

when"0101"=>b:

="0010001001001000";

when"0110"=>b:

="1111101001001000";

when"0111"=>b:

="0010001111111000";

when"1000"=>b:

="0010000001000000";

when"1001"=>b:

="0010000001010000";

when"1010"=>b:

="0010001111111000";

when"1011"=>b:

="0011110001000000";

when"1100"=>b:

="1110000001000000";

when"1101"=>b:

="0100000001000100";

when"1110"=>b:

="0000111111111110";

when"1111"=>b:

="0000000000000000";

whenothers=>null;ENDCASE;

When"00011"=>

CASEWEIis

when"0000"=>b:

="0000000000000000";

when"0001"=>b:

="0000000000001000";

when"0010"=>b:

="0111111111111100";

when"0011"=>b:

="0000000100000000";

when"0100"=>b:

="0000000100000000";

when"0101"=>b:

="0000000100000000";

when"0110"=>b:

="0000000100000000";

when"0111"=>b:

="0000000100000000";

when"1000"=>b:

="0000000100000000";

when"1001"=>b:

="0000000100000000";

when"1010"=>b:

="0000000100000000";

when"1011"=>b:

="0000000100000000";

when"1100"=>b:

="0000000100000100";

when"1101"=>b:

="1111111111111110";

when"1110"=>b:

="0000000000000000";

when"1111"=>b:

="0000000000000000";

whenothers=>null;ENDCASE;

When"00100"=>

CASEWEIis

when"0000"=>b:

="0000000100000000";

when"0001"=>b:

="0000000100000000";

when"0010"=>b:

="0000000100000000";

when"0011"=>b:

="0000000100000000";

when"0100"=>b:

="0000000100000100";

when"0101"=>b:

="1111111111111110";

when"0110"=>b:

="0000000100000000";

when"0111"=>b:

="0000001010000000";

when"1000"=>b:

="0000001010000000";

when"1001"=>b:

="0000001010000000";

when"1010"=>b:

="0000001001000000";

when"1011"=>b:

="0000001000010000";

when"1100"=>b:

="0000100000010000";

when"1101"=>b:

="0001000000001110";

when"1110"=>b:

="0110000000000100";

when"1111"=>b:

="0000000000000000";

whenothers=>null;ENDCASE;

When"00101"=>

CASEWEIis

when"0000"=>b:

="0010001000001000";

when"0001"=>b:

="0001000100001000";

when"0010"=>b:

="0000000000000000";

when"0011"=>b:

="0000000000100000";

when"0100"=>b:

="0111111111111110";

when"0101"=>b:

="0100000000000010";

when"0110"=>b:

="1000000000000100";

when"0111"=>b:

="0001111111100000";

when"1000"=>b:

="0000000001000000";

when"1001"=>b:

="0000000110000100";

when"1010"=>b:

="1111111111111110";

when"1011"=>b:

="0000000100000000";

when"1100"=>b:

="0000000100000000";

when"1101"=>b:

="0000000100000000";

when"1110"=>b:

="0000010100000000";

when"1111"=>b:

="0000001000000000";

whenothers=>null;ENDCASE;

When"00110"=>

CASEWEIis

when"0000"=>b:

="0000001000000000";

when"0001"=>b:

="0000000100000100";

when"0010"=>b:

="0011111111111110";

when"0011"=>b:

="0010000000000000";

when"0100"=>b:

="0010000100000100";

when"0101"=>b:

="0010100010000100";

when"0110"=>b:

="0010010010000100";

when"0111"=>b:

="0010010001001000";

when"1000"=>b:

="0010001001001000";

when"1001"=>b:

="0010001001001000";

when"1010"=>b:

="0010001000010000";

when"1011"=>b:

="0010001000010000";

when"1100"=>b:

="0010000000100000";

when"1101"=>b:

="0100000001000100";

when"1110"=>b:

="1001111111111110";

when"1111"=>b:

="1001111111111110";

whenothers=>null;ENDCASE;

When"00111"=>

CASEWEIis

when"0000"=>b:

="0000000000001000";

when"0001"=>b:

="0011111111111100";

when"0010"=>b:

="0010000100001000";

when"0011"=>b:

="0010000100001000";

when"0100"=>b:

="0010000100001000";

when"0101"=>b:

="0011111111111000";

when"0110"=>b:

="0010000100001000";

when"0111"=>b:

="0010000100001000";

when"1000"=>b:

="0010000100001000";

when"1001"=>b:

="0011111111111000";

when"1010"=>b:

="0010000100001000";

when"1011"=>b:

="0010000100001000";

when"1100"=>b:

="0010000100001000";

when"1101"=>b:

="0100000100001000";

when"1110"=>b:

="0100000100101111";

when"1111"=>b:

="1000000000010000";

whenothers=>null;ENDCASE;

When"01000"=>

CASEWEIis

when"0000"=>b:

="0000010000010000";

when"0001"=>b:

="0000111000010000";

when"0010"=>b:

="1111100010010000";

when"0011"=>b:

="0000100001010000";

when"0100"=>b:

="0000100000010000";

when"0101"=>b:

="1111111010010000";

when"0110"=>b:

="0000100001010000";

when"0111"=>b:

="0001110000010100";

when"1000"=>b:

="0001101000011110";

when"1001"=>b:

="0010100111110000";

when"1010"=>b:

="0010100000010000";

when"1011"=>b:

="0100100000010000";

when"1100"=>b:

="1000100000010000";

when"1101"=>b:

="0000100000010000";

when"1110"=>b:

="0000100000010000";

when"1111"=>b:

="0000100000010000";

whenothers=>null;ENDCASE;

When"01001"=>

CASEWEIis

when"0000"=>b:

="0010001000001000";

when"0001"=>b:

="0001000100001000";

when"0010"=>b:

="0001000100010000";

when"0011"=>b:

="0000000000100000";

when"0100"=>b:

="0111111111111110";

when"0101"=>b:

="0100000000000010";

when"0110"=>b:

="1000000000000100";

when"0111"=>b:

="0001111111100000";

when"1000"=>b:

="0000000001000000";

when"1001"=>b:

="0000000110000100";

when"1010"=>b:

="1111111111111110";

when"1011"=>b:

="0000000100000000";

when"1100"=>b:

="0000000100000000";

when"1101"=>b:

="0000000100000000";

when"1110"=>b:

="0000010100000000";

when"1111"=>b:

="0000001000000000";

whenothers=>null;ENDCASE;

When"01010"=>

CASEWEIis

when"0000"=>b:

="0010001000001000";

when"0001"=>b:

="0001000100001000";

when"0010"=>b:

="0001000100010000";

when"0011"=>b:

="0000000000100000";

when"0100"=>b:

="0111111111111110";

when"0101"=>b:

="0100000000000010";

when"0110"=>b:

="1000000000000100";

when"0111"=>b:

="0001111111100000";

when"1000"=>b:

="0000000001000000";

when"1001"=>b:

="0000000110000100";

when"1010"=>b:

="1111111111111110";

when"1011"=>b:

="0000000100000000";

when"1100"=>b:

="0000000100000000";

when"1101"=>b:

="0000000100000000";

when"1110"=>b:

="0000010100000000";

when"1111"=>b:

="0000001000000000";

whenothers=>null;ENDCASE;

When"01011"=>

CASEWEIis

when"0000"=>b:

="0000000010000000";

when"0001"=>b:

="0111100001000000";

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 工学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1