电子密码锁 副本.docx

上传人:b****5 文档编号:5154636 上传时间:2022-12-13 格式:DOCX 页数:21 大小:637.53KB
下载 相关 举报
电子密码锁 副本.docx_第1页
第1页 / 共21页
电子密码锁 副本.docx_第2页
第2页 / 共21页
电子密码锁 副本.docx_第3页
第3页 / 共21页
电子密码锁 副本.docx_第4页
第4页 / 共21页
电子密码锁 副本.docx_第5页
第5页 / 共21页
点击查看更多>>
下载资源
资源描述

电子密码锁 副本.docx

《电子密码锁 副本.docx》由会员分享,可在线阅读,更多相关《电子密码锁 副本.docx(21页珍藏版)》请在冰豆网上搜索。

电子密码锁 副本.docx

电子密码锁副本

基于VerilogHDL的FPGA的电子密码锁的设计报告

摘要:

基于FPGA设计的电子密码锁是一个小型的数字系统,与普通机械锁相比,具有许多独特的优点:

保密性好,防盗性强,可以不用钥匙,记住密码即可开锁等。

目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件。

在实际应用中,程序容易跑飞,系统的可靠性较差。

本文介绍的一种基于现场可编辑门阵列FPGA器件的电子密码锁的设计方法,采用VHDL语言对系统进行描述,并在EP3C10E144C8上实现。

通过仿真调试,利用可编程逻辑器件FPGA的电子密码锁的设计基本达到了预期目的。

当然,该系统在一些细节的设计上还需要不断地完善和改进,特别是对系统的扩展有很好的使用系统和设计的价值。

关键词:

现场可编程门阵列;VerilogHDL语言;电子密码锁

 

TheReportOfElectronicCodeLockDesign

Abstract:

FPGA-baseddesignoftheelectroniccodelockisasmalldigitalsystem.Ithasmanyuniqueadvantages:

goodprivacyandsecurity,itdonotneedthekeybutrememberpasswordtounlock,andsoonwhileitcomparetoordinarymechanicallocks.Atpresent,theelectroniccodelockismostusedofSCMtechnology.Inpractice,however,theprocesseasyruntofly.Sothereliabilityofthissystemispoor.ThepaperintroducedafieldprogrammablegatearraysFPGAdevicestodesignelectronicpasswordlock.TheVHDLlanguageisusedtodescribethesystemandachievedinEP3C10E144C8.

Thoughthesimulationtests,usingFPGA-baseddesignoftheelectroniccodelockcanachievetheexpectedgoal.Ofcourse,someofthedetailsofthesysteminthedesignoftheneedtoconstantlyrefinedandimproved,inparticulartheexpansionofthesystemhaveagooddesignandpracticalvalue.

Keyword:

FPGA;VHDLlanguage;electronicpasswordlock

 

一、设计内容与要求

1.设计一个密码锁,密码为一个4位的十进制数,密码固化在锁内

2.用户输入密码正确,则开锁(试验箱D8LED灯亮);若不正确,则报警(试验箱D1LED灯亮)

3.若用户输入密码不正确,可以按复位键重新输入密码。

二、设计思想

2.1系统原理框图

本系统由主控芯片(FPGA),键盘,显示电路,报警电路和开/关门电路组成,而主控芯片又可分为按键处理部分,控制部分和译码显示部分。

系统原理框图如图2.1所示:

图2.1系统框图

2.2总体实现原理

本系统有8个按键,K0,K1,K2,K3,K4,K5代表数字0-9共10个数字和1个确认键,1个复位键。

密码长度为四位,并且固化在锁内,输入正确密码后,按确认键即可开门,本系统设置为LEDD8灯亮。

在输入密码的过程中,当用户键入错误密码时,报警灯LEDD1灯亮。

按下复位键,可使报警停止,同时清除所有密码显示。

三、芯片主控设计

3.1FPGA有限状态机

本设计是通过FPGA有限状态机来实现,设计有限状态机最开始的工作时要确定电路,包括哪些状态,比如某个电路包括四个状态,S0,S1,S2,S3。

然后对所有状态给出一个状态编码,比如为状态S0赋予编码00,为状态S1赋予编码01,为状态S2赋予编码10,为状态S3赋予编码11。

状态编码是状态的标识,保存在寄存器当中,对于此编码形式,只需一个2位的寄存器就可以了。

FSMEncodingStyle主要有:

BinaryEncoding

OneHotEncoding

GrayEncoding

二进制与一位热码的特性比较:

表3.1二进制与一位热码的特性比较

状态机可以认为是组合逻辑和寄存器逻辑的特殊租户,它一般包括两个部分:

组合逻辑部分和寄存器逻辑部分。

寄存器用于存储状态,组合电路用于状态译码和产生输出信号。

状态机的下一个状态及输出,不仅与输入信号有关,而且还有寄存器当前所处的状态有关。

根据输出信号产生方法的不同,状态机可以分成两类:

Mealy型和Moore型。

Moore型状态机的输出只是当前状态的函数,而Mealy型状态机的输出则是当前状态和当前输入状态的函数。

其原理如下两图:

图3.1Mealy型状态机输出原理

图3.2Moore型状态机输出原理

3.2设计流程

本次密码锁的设计,有限状态机应该包括以下状态:

密码为输入前的等待状态、输入密码时的等待状态、输入密码正确时的通过状态、输入密码错误时的警报状态。

图3.3主有效状态机的状态转换图

其中当密码输入时又可包括以下状态,正常输入状态、异常输入状态(包括命令状态)、输入确认状态。

下面的图(图是在程序编译后,tools->Netlist_Vewers->RTLVewer得到的)表示了密码输入的时候的次状态机,表示了4个密码输入的顺序状态,以及输入完成后的等待确认状态。

图3.4次有效状态机的状态转换

3.3状态编码

状态编码主要有二进制编码、格雷编码和一位独热编码等方式。

格雷编码时,相邻状态每次只有一个比特位产生变化,这样减少了瞬变的次数,也减少了产生毛刺和一些状态的可能。

采用一位独热编码,虽然多用了触发器,当可以有效节省和简化组合电路。

对于寄存器数量多而逻辑相对缺乏的FPGA器件来说,采用一位独热编码可以有效提高电路的速度和可靠性,也有利于提高器件资源的利用率。

将产生状态的组合逻辑电路和用于保存状态的寄存器分别写在不同的always块中。

其中主要包括:

输出控制部分、警报计时部分、锁打开后的计时部分、比较密码部分、记录密码部分和记录错误次数的部分

3.4密码的输入

数字按键输入的响应控制

(1)如果按下数字键,第一个数字会从显示器的最左端开始显示,直到数输完四个数字。

(2)假如要更改输入的数字,按清除键清除所有输入的数字,再重新输入四位数。

(3)由于这里设计的是一个四位的电子密码锁,所以当输入的数字键超过四个时,电路不予理会,而且不再显示第四个以后的数字。

另外由于按键的时候同时会引起状态机的转换,所以如果按键的时候对按键判断次数过多会产生状态的过快转换,记录的密码和数码管的显示就同时会出现错误,因此在按键部分加入了消除多重按键的程序,只检测一次按键的下降沿,解决了这个问题。

3.5密码记录与比较

程序设定了一个寄存器用来记录输入的密码。

当次有效状态机(即密码输入的状态机)发生转换并且有密码输入时,程序会记录下输入的密码在寄存器的其中4位里面,最后次有效状态转换到确认密码的状态时,会将记录下的密码与固化在锁内的密码进行对比,正确即将主状态机转换到通过阶段,错误则将状态机转换到报警阶段。

其中正确错误的状态转换是通过控制相应的标志位实现的。

3.6密码的显示

密码显示采用数码管动态扫描显示,初始时显示密码为4位0,当输入密码后数码管的第一位、第二位、第三位、第四位会依次显示输入的密码,错误后复位可以重新输入。

密码显示采用的是记录密码的寄存器的数据,显示扫描的扫描时间设置为1ms左右,这样显示不会出现闪烁或者残影。

三、引脚锁定

本设计中所用的引脚如下:

MagicSOPC主板IO引脚分配表

按键

LED灯

数码管显示

四、程序仿真

下前面的输入cmd的编码:

//输入的数字编码0~9,enter,cancel

one=4'b0001,two=4’b0010,three=4'b0011,four=4'b0100,five=4'b0101,

six=4'b0110,seven=4'b0111,eight=4'b1000,nine=4'b1001,

zero=4'b1000,enter=4'b1010,cancel=4'b1011;

可以看到,在复位以后,输入第1,2,3,4个密码(依次为1111)后,passed变成高电平

当过了一定的时间后,passed变成低电平,重新计入键盘读入值,进行下一轮的密码辨别。

 

五、程序清单

modulepasswd_lock(

clk0,

passed,

one1,

two1,

three1,

four1,

zero1,

five1,

six1,

seven1

eight1,

nine1,

yes,

resetb,

seg,

dig

);

inputone1,two1,three1,four1,zero1,five1,six1,seven1,eight1,nine1;

regzero,one,two,three,four,five,six,seven,eight,nine;

inputyes;

inputresetb;//输入复位信号

inputclk0;//输入时钟信号

output[7:

0]passed;//输出信号

output[7:

0]seg;//////段选

output[7:

0]dig;//////位选

reg[3:

0]key;

regRXBuf0,RXBuf1,RXBuf2,RXBuf3,RXBuf4,RXBuf5,RXBuf6,RXBuf7,RXBuf8,RXBuf9;

//display

regclk0_div;

reg[2:

0]digyi;////////////yiwei////

reg[7:

0]dig;/////////////weixuan

reg[3:

0]seg0;/////////////duanxuan

reg[7:

0]seg;

reg[12:

0]CNT_R0;

reg[18:

0]CNT_R1;

regclk1;

reg[21:

0]CNT_R2;

regclk2;

reg[7:

0]passed;

/*输入与输出的声明部分,其中,clk0为输入的时钟信号,resetb为密码舒服的输入信号,key为输入命令,

需注意的时,key并不是总在表示密码,也表示密码的间隔,如当输入4位密码后需要一个确认“enter”信号,

当密码输入错误时,需要取消“cancel”信号,这些信号之间在设计中通过有限状态转换机实现。

*/

parameterPASSWORD=16'b0001000100010001;//盛放密码的参数

reg[15:

0]password;//输入数值盛放寄存器

//输入的数字编码

always@(posedgeclk1)begin//检测线路的上升沿

RXBuf1<=one1;

one<=~(RXBuf1&(~one1));

//RXFall1<=RXFall;

end

//消除多重按键

always@(posedgeclk1)begin//检测线路的上升沿

RXBuf0<=zero1;

zero<=~(RXBuf0&(~zero1));

//RXFall1<=RXFall;

end

//消除多重按键

always@(posedgeclk1)begin//检测线路的上升沿

RXBuf2<=two1;

two<=~(RXBuf2&(~two1));

//RXFall1<=RXFall;

end

//消除多重按

always@(posedgeclk1)

begin//检测线路的上升沿

RXBuf3<=three1;

three<=~(RXBuf3&(~three1));

//RXFall1<=RXFall;

end

//消除多重按键

always@(posedgeclk1)

begin//检测线路的上升沿

RXBuf4<=four1;

four<=~(RXBuf4&(~four1));

//RXFall1<=RXFall;

end

//消除多重按键

always@(posedgeclk1)begin//检测线路的上升沿

RXBuf5<=five1;

five<=~(RXBuf5&(~five1));

//RXFall1<=RXFall;

end

//消除多重按键

always@(posedgeclk1)begin//检测线路的上升沿

RXBuf6<=six1;

six<=~(RXBuf6&(~six1));

//RXFall1<=RXFall;

end

//消除多重按键

always@(posedgeclk1)begin//检测线路的上升沿

RXBuf7<=seven1;

nine<=~(RXBuf7&(~seven1));

//RXFall1<=RXFall;

end

//消除多重按

always@(posedgeclk1)

begin//检测线路的上升沿

RXBuf8<=eight1;

eight<=~(RXBuf8&(~eight1));

//RXFall1<=RXFall;

end

//消除多重按键

always@(posedgeclk1)

begin//检测线路的上升沿

RXBuf9<=nine1;

nine<=~(RXBuf9&(~nine1));

//RXFall1<=RXFall;

end

//消除多重按键

reg[2:

0]main_state;//主状态

reg[2:

0]next_state;//下一个状态

//主有限状态转换机的三个状态:

waits、pass、alarm

parameterwaits=3'b001,

pass=3'b010,

alarm=3'b100;

reg[2:

0]sub_state;

reg[2:

0]next_sub_state;

//主有限状态转换机的三个状态:

first、second、third、fourth、finish。

parameterfirst=3'b000,

second=3'b001,

third=3'b010,

fourth=3'b011,

finish=3'b100;

//通过计时寄存器

reg[7:

0]pass_count;

//警报计时寄存器

reg[10:

0]alarm_count;

//尝试次数寄存器

reg[1:

0]try_count;

//输入状态寄存器:

error和correct

regerror;

regcorrect;

//以上为中间状态的一些寄存器和一些所用到的参数

//主机状态机部分

always@(posedgeclk0)

begin

CNT_R2<=CNT_R2+1'b1;

if(CNT_R2<4000000)

begin

clk1<=1;

end

else

begin

clk1<=0;

end

end

always@(main_stateorcorrectorerror)

begin

case(main_state)

waits:

if(correct==1)//由waits转换到pass的条件

next_state=pass;

elseif(error==1&&try_count==1)

next_state=alarm;//由waits转换到alarm的条件

else

next_state=waits;

pass:

if(pass_count[7]==1)//由pass转换到waits的条件

next_state=waits;

else

next_state=pass;

alarm:

if(alarm_count[10]==1)//由alarm转换到waits的条件

next_state=waits;

else

next_state=alarm;

default:

//默认状态:

waits

next_state=waits;

endcase

end

//状态转换

always@(posedgeclk1ornegedgeresetb)

begin

if(!

resetb)

main_state<=waits;

else

main_state<=next_state;

end

//输出控制部分

always@(posedgeclk1ornegedgeresetb)

begin

if(!

resetb)//复位时,开锁输出与警报输出都为零

begin

passed<=8'b00000000;

end

elseif(main_state==pass)//当主机状态为pass时,开锁

begin

passed<=8'b10000000;

end

elseif(main_state==alarm)//当主机状态为alarm时,警报

begin

passed<=8'b00000001;

end

else//其它状态复位

begin

passed<=8'b00000000;

end

end

//alarm一段时间后,自动进入waits状态

//alarm定时器

always@(posedgeclk1ornegedgeresetb)

begin

if(!

resetb)

alarm_count<=0;

elseif(main_state==alarm)//alarm状态计时器alarm定时器加1

alarm_count<=alarm_count+1;

else

alarm_count<=0;

end

//锁pass以后计数开始,当规定的时间到达后自动上锁,并进入waits状态

//pass定时器

always@(posedgeclk1ornegedgeresetb)

begin

if(!

resetb)

pass_count<=0;

elseif(main_state==pass)//pass状态计时器pass定时器加1

pass_count<=pass_count+1;

else

pass_count<=0;

end

//从状态机,用于输入4位密码

always@(posedgeclk1ornegedgeresetb)

begin

if(!

resetb)

sub_state<=first;

else

sub_state<=next_sub_state;

end

always@(!

zero||!

one||!

two||!

three||!

four||!

five||!

six||!

seven||!

eight||!

nine||!

yesorsub_state)

//always@(keyorsub_state)

begin

if(key_pressed_flag||!

yes)

if(!

yes)//4个密码输完时,进行确认

next_sub_state=first;

//default为输入了某位密码,输入完自动将状态转入下一位

else

if(!

zero||!

one||!

two||!

three||!

four||!

five||!

six||!

seven||!

eight||!

nine)

case(sub_state)

first:

next_sub_state=second;

second:

next_sub_state=third;

third:

next_sub_state=fourth;

fourth:

next_sub_state=finish;

//当输入完4位密码以后状态保持不变,等待输入enter命

//令

finish:

next_sub_state=finish;

default:

next_sub_state=sub_state;

endcase

else

next_sub_state=sub_state;

end

//比较密码,产生正确或者错误信息

always@(posedgeclk1ornegedgeresetb)

begin

if(!

resetb)

begin

correct<=0;

error<=0;

end

elseif(!

key_pressed_flag&&!

yes)

if(password==PASSWORD)//密码正确时

begin

correct<=1;

error<=0;

end

else//密码错误时

begin

error<=1;

correct<=0;

end

else

begin

correct<=0;

error<=0;

end

end

//记录密码

always@(posedgeclk1ornegedgeresetb)

begin

if(!

resetb)

password<=0;

elseif(!

zero||!

one||!

two||!

three||!

four||!

five||!

six||!

seven||!

eight||!

nin

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 艺术

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1