EDA论文.docx

上传人:b****6 文档编号:4944152 上传时间:2022-12-12 格式:DOCX 页数:9 大小:78.55KB
下载 相关 举报
EDA论文.docx_第1页
第1页 / 共9页
EDA论文.docx_第2页
第2页 / 共9页
EDA论文.docx_第3页
第3页 / 共9页
EDA论文.docx_第4页
第4页 / 共9页
EDA论文.docx_第5页
第5页 / 共9页
点击查看更多>>
下载资源
资源描述

EDA论文.docx

《EDA论文.docx》由会员分享,可在线阅读,更多相关《EDA论文.docx(9页珍藏版)》请在冰豆网上搜索。

EDA论文.docx

EDA论文

西安建筑科技大学课程设计(论文)任务书

专业班级:

学生姓名:

指导教师(签名):

一、课程设计(论文)题目

数字频率计的设计

二、本次课程设计(论文)应达到的目的

通过课程设计使学生能熟练掌握一种EDA软件(MAXPLUS2)的使用方法,能熟练进行设计输入、编译、管脚分配、下载等过程。

通过课程设计使学生能利用EDA软件(MAXPLUS2)进行至少一个电子技术综合问题的设计(内容可由老师指定或自由选择),设计输入可采用图形输入法或VHDL硬件描述语言输入法。

通过课程设计使学生初步具有分析、寻找和排除电子电路中常见故障的能力,培养学生的自我能力和独立分析、解决问题的能力。

包括:

查阅参考资料、工具书,掌握数字系统仿真调试的一般规律。

通过课程设计使学生能独立写出严谨的、有理论根据的、实事求是的、文理通顺的字迹端正的课程设计报告。

三、本次课程设计(论文)任务的主要内容和要求(包括原始数据、技术参数、设计要求等)

设计一个能测量方波信号的频率的频率计。

测量的频率范围是0~999999Hz。

结果用十进制数显示。

四、应收集的资料及主要参考文献:

1、赵曙光等编著可编程逻辑器件原理、开发与应用西安:

西安电子科技大学出版社,2000

2、IC设计基础.任艳颖,王彬编著.西安:

西安电子科技大学出版社.2003

3、FPGA设计及应用.褚振勇,翁木云编著.西安:

西安电子科技大学出版社,2002

4、数字系统设计和HDL相关书籍和资料

五、审核批准意见

 

教研室主任(签字)

数字频率计的设计

 

摘要

频率计又称为频率计数器,是一种专门对被测信号频率进行测量的电子测量器。

频率计最基本的工作原理为:

当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T。

本文采用计算机软件MAX-PLUS2进行频率计的设计,测量信号在1s时间的周期数来得到信号的频率。

本文所设计的频率计设计思路简单,可操作性强,具有很好的实际意义。

 

关键字:

EDA、频率计、信号、仿真

 

目录

 

1引言3

2设计思路4

3设计分析5

3.1测频信号发生器5

3.2计数器5

3.3数码管显示5

4各模块的程序及电路图5

4.1分频器模块5

4.2计数器模块6

4.3七段数码管显示模块8

5各模块的仿真图9

5.1分频器模块9

5.2计数器模块9

5.3七段数码管显示模块10

6总结10

7心得体会10

8参考文献12

 

1引言

EDA技术是以大规模可编程逻辑器件为设计载体,以硬件语言为系统逻辑描述的主要方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件设计的电子系统到硬件系统的设计,最终形成集成电子系统或专用集成芯片的一门新技术,其设计的灵活性使得EDA技术得以快速发展和广泛应用。

数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。

随着现场可编程门阵列FPGA的广泛应用,以EDA工具作为开发手段,运用VHDL等硬件描述语言语言,将使整个系统大大简化,提高了系统的整体性能和可靠性。

本文以Max+PlusⅡ软件为设计平台,运用VHDL语言实现数字频率计的整体设计。

2设计思路

在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此,频率的测量就显得更为重要。

测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。

所谓频率,就是周期性信号在单位时间内的变化次数。

频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。

通常情况下计算每秒内待测信号的脉冲个数,即闸门时间为1s。

闸门时间可以根据需要取值,大于或小于1s都可以。

闸门时间越长,得到的频率值就越准确,但闸门时间越长,则每测一次频率的间隔就越长。

闸门时间越短,测得的频率值刷新就越快,但测得的频率精度就受影响。

本文采用1s作为闸门时间,即用计数器在计算1s内输入信号周期的个数,其测频范围为0Hz~999999Hz。

本数字频率计的关键组成部分包括测频控制信号发生器、计数器、锁存器、译码驱动电路和显示电路,其原理框图如图1所示:

 

图1数字频率计原理框图

3设计分析

3.1测频信号发生器

测频控制信号发生器产生测量频率的控制时序,是设计频率计的关键。

这里控制信号clk取为1000Hz,分频后要得到一个脉宽为1s的时钟信号q,用来作为计数闸门信号。

当q为高电平时开始计数;在q的下降沿时停止计数,并且产生清零信号clear,为下次计数做准备。

clear信号是低电频有效。

3.2计数器

本文所设计的频率计的频率范围是0Hz~999999H,因此计数器采用6个十进制计数器的级联来进行计数。

3.3数码管显示

显示部分采用七段数码管电路来显示。

七段数码管是指由七段LED显示条组成的数码管,可以通过控制每一段LED的亮灭来显示0-9是个数字。

4各模块的程序及电路图

4.1分频器模块

分频器模块的程序如下所示:

libraryieee;

useieee.std_logic_1164.all;

entityfenis

port(clk:

instd_logic;

q:

outstd_logic);

endfen;

architecturefen_arcoffenis

begin

process(clk)

variablecnt:

integerrange999downto0;

variablex:

std_logic;

begin

ifclk'eventandclk='1'then

ifcnt<999then

cnt:

=cnt+1;

else

cnt:

=0;

x:

=notx;

endif;

endif;

q<=x;

endprocess;

endfen_arc;

4.2计数器模块

本文采用74160作为十进制计数器。

74160是一个4位二进制的计数器,它具有异步清除端与同步清除端不同的是,它不受时钟脉冲控制,只要来有效电平,就立即清零,无需再等下一个计数脉冲的有效沿到来。

计数器模块的电路连接图如图2所示:

图2计数器模块电路连接图

4.3七段数码管显示模块

七段数码管显示模块的程序如下所示:

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityshumais

port(m:

instd_logic_vector(3downto0);

n:

OUTSTD_LOGIC_VECTOR(6downto0));

endshuma;

architecturexianshiofshumais

begin

process(m)

begin

casemis

when"0000"=>n<="0111111";

when"0001"=>n<="0000110";

when"0010"=>n<="1011011";

when"0011"=>n<="1001111";

when"0100"=>n<="1100110";

when"0101"=>n<="1101101";

when"0110"=>n<="1111101";

when"0111"=>n<="0000111";

when"1000"=>n<="1111111";

whenothers=>n<="0000000";

endcase;

endprocess;

endxianshi;

5各模块的仿真图

5.1分频器模块

分频器模块的仿真图如图3所示

图3分频器模块仿真图

5.2计数器模块

令待测信号fsin为周期是20.0us的方波信号,控制信号clk的脉冲宽度为1s。

分别用gw、sw、bw、qw、ww和sww来表示计数结果的个位、十位、百位、千位、万位和十万位。

计数器模块的仿真图如图4所示:

图4计数器模块仿真图

5.3七段数码管显示模块

七段数码管显示模块的仿真图如图5所示:

图3七段数码管模块仿真图

6总结

本频率计步器思路简单,可操作性强,有一定是的使用性。

但任然存在一些问题:

本文设计的数字频率计采用的测量周期为1s,因此测量结果的精度有限。

,若待测信号某个周期的上升沿略在控制信号上升沿之前,则计数器不会对此周期计数;若待测信号某个周期的上升沿略在控制信号下升沿之前,则计数器会对此周期计数,因此对测量结果造成了一定的误差。

7心得体会

本次的课程设计我个人觉得是很有意义的。

老师给题目很有代表性,而且实用性很强。

这次课程设计虽然只有短短的一周时间,但是学到的东西却很多,它把我这期所学的知识系统的规划起来并用于实践的操作,这也是对理论知识深刻认识的最重要一步,让我受益匪浅。

现在就这次的课程设计做如下几点总结:

一、拿到一个题目,知道如何去分析它,建立设计思想,可以通过系统划分,设计输入、逻辑设计综合,最后进行编译仿真。

二、VHDL语言的设计。

作为一种标准的硬件描述语言,VHDL的优势只要体现在:

功能与灵活性,不依赖于器件的设计,可移植性等等。

通过这次的课程设计,我觉得VHDL更加简捷易懂。

三、主要说下在调试过程中出现的问题。

在调试中出现的问题大多可能是程序没能完成设计要求,但是这次的设计让我深切感受到问题可能出在你觉察不到的步骤上。

我这次就是出现了这个问题,写完程序,编译后发现没有问题,但是进行仿真后总是得不到想要的结果。

这次课程设计让我也悟出了一个道理:

细节决定成功。

也谢谢老师这几天的细心指导,让我顺利完成了课程设计。

 

8参考文献

(1)康华光.电子技术基础:

模拟部分.第四版.北京:

高等教育出版社.2000

(2)阎石数字电子技术基础:

第四版.北京:

高等教育出版社.1997

(3)潘松黄继业.EDA技术使用教程:

第三版.北京:

科学出版社2010

(4)东方人华王建坤.MAX+PLUS2入门与提高.北京:

清华大学出版社.2004

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 军事

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1