实现QPSK的FPGA实现毕业设计.docx

上传人:b****5 文档编号:30281585 上传时间:2023-08-13 格式:DOCX 页数:38 大小:349.58KB
下载 相关 举报
实现QPSK的FPGA实现毕业设计.docx_第1页
第1页 / 共38页
实现QPSK的FPGA实现毕业设计.docx_第2页
第2页 / 共38页
实现QPSK的FPGA实现毕业设计.docx_第3页
第3页 / 共38页
实现QPSK的FPGA实现毕业设计.docx_第4页
第4页 / 共38页
实现QPSK的FPGA实现毕业设计.docx_第5页
第5页 / 共38页
点击查看更多>>
下载资源
资源描述

实现QPSK的FPGA实现毕业设计.docx

《实现QPSK的FPGA实现毕业设计.docx》由会员分享,可在线阅读,更多相关《实现QPSK的FPGA实现毕业设计.docx(38页珍藏版)》请在冰豆网上搜索。

实现QPSK的FPGA实现毕业设计.docx

实现QPSK的FPGA实现毕业设计

【关键字】实现

河南科技大学毕业设计(论文)开题报告

(学生填表)

学院:

电子信息工程学院2010年04月02日

课题名称

QPSK的FPGA实现

学生姓名

专业班级

电信

课题类型

硬件设计

指导教师

职称

讲师

课题来源

生产

1.设计(或研究)的依据与意义

近几十年来,卫星通信由于具有覆盖地域广、通信距离远、通信容量大、传输质量好和具有地址连接能力等优点,已成为现在信息社会的一种重要通信手段。

数字调制技术作为这个领域极为重要的一个方面,也得到了迅速的发展。

数字调制信号又称为键控信号,调制过程可用键控的方法由基带信号对载频信号的振幅、频率及相位进行调制,其中QPSK(即4PSK)是MPSK(多进制相移键控)中应用较广泛的一种调制方式。

QPSK(四相相移键控)具有较高的频谱利用率和较好的误码性能,并且实现复杂度小,解调理论成熟,已成为新一代无线接入网物理层和B3G通信中使用的基本调制方式。

QPSK调制技术与FSK、BPSK等调制技术相比,不但抗干扰能力强,而且能更经济有效地利用频带。

目前,QPSK调制技术已广泛应用于数字电视、IEEE802.11的2Mbps数据传输机制、数字微波通信系统、军事通信、卫星数据传输、有线电视的上行传输、宽带接入与移动通信等领域。

数字调制解调技术在数字通信中占有非常重要的地位,而数字通信技术与FPGA的结合是现代通信系统发展的一个必然趋势。

FPGA器件(FieldprogrammableGateArray)是八十年代中期出现的一种新概念。

利用FPGA技术设计的产品具有重量轻、体积小、速度快、保密程度高、功耗低等特点,极大地提高了产品的性价比和竞争力,大大缩短了设计周期,减少了设计费用,降低了设计风险。

本设计主要对QPSK调制技术的FPGA实现进行研究,这不仅有助于学习和提高本人的专业知识和技能,而且可以为以后进一步为现代通信事业贡献自己的力量打下坚实的基础,因而具有重要的现实意义。

 

2.国内外同类设计(或同类研究)的概况综述。

目前,随着数字通信系统的发展,数字信号的调制识别技术在军事、民用领域都有十分广泛的应用价值。

近年来,各种调制技术层出不穷,一直受到人们的关注。

由于QPSK能够非相干解调并且频谱利用率高,所以已用于很多国家的无线通信中,如北美移动/个人无线标准IS-54和IS-136以及欧洲私人商务无线电的TETRA标准等等。

在这些应用中,一些高性能的QPSK调制/解调芯片相继问世。

国外已经有一些关于全数字QPSK调制解调器方面的研究成果和芯片问世,如ST公司ST55、ST5518,比利时NEWTEC公司的NTC-2077/FT、美国休斯公司的BCD4C-M5000,美国HARRTS公司和德国HISRSCHMANN赫曼公司也都有相关的芯片。

又如INTEL公司的STEL-2176是一款全数字调制解调芯片,兼容IEEE802.14、MCNS和DAVIC等标准。

解调部分可直接输入高达50MHZ的中频模拟信号,信号宽带可达8MHZ,可解调16/64/256QAM的连续信号;调制部分,可输出SMHZ-65mhz的连续/突发信号,调制方式可以是BPSK/QPSK/QAM,速率最高可高达40mbps(16QAM)。

与此同时,国内也有一些成果,比如北京海尔集成电路设计有限公司研制的符合DVB-S标准的卫星信号解码器HQPSK-DV。

该芯片包括载波恢复、符号同步、解调、前向纠错和码流解扰等功能。

FPGA技术在许多领域均有广泛的应用,特别是在无线通信领域里,由于具有极强的实时性,使其对话音进行实时处理成为可能。

由于它是通过面向芯片结构指令的软件编程来实现其功能的,因而仅修改软件而不需改硬件平台就可以改进系统原有设计方案或原有功能,因而具有极大的灵活性;又由于FPGA芯片并非专门为某种功能设计的,因而使用范围广、产量大、价格可以降到很低。

就FPGA而言,由于亚微米工艺的采用,其速度更快,门数更多。

目前Lucent和XILINX公司均有10万门以上的产品,并且集成了一些新的功能,如SystemonChip,ProgrammingonSystem等,使其更加灵活。

所以FPGA在无线通信系统中大量应用,促进了无线通信的发展;而无线通信的蓬勃发展又促进了FPGA技术的不断进步。

 

3.课题设计(或研究)的内容

1.查阅相关文献资料,了解串行通信的一般知识(如:

其通信的方式、通信

数据帧的格式以及波特率等),了解FPGA的一般设计的方法;

2.重点掌握QPSK调制和解调原理;根据其功能进行模块的确定;根据其原理对QPSK实现FPGA进行调制和解调状态的划分。

3.完成QPSK调制和解调的FPGA设计;实现调制和解调每个模块功能,并对其进行单独测试;将模块整合并对其进行测试以及硬件调试,以实现其功能。

4.设计(或研究)方法

1.对整个设计的要求进行需求分析,对QPSK实现FPGA进行功能的分解,以此划分成比较小的模块,自下而上设计系统;

2.使用硬件描述语言逐个实现调制解调功能,待模块实现了再将其组合完成整体设计

3.通过仿真工具对模块逻辑、时序进行仿真调试;再对整体进行仿真调试,通过软件仿真后再下载到FPGA芯片上进行调试。

5.实施计划

第4—5周查阅相关资料文献,完成开题报告和英文文献翻译;

第6—10周完成程序设计;

第11—12周完成仿真与测试;

第13—15周撰写论文,参加毕业答辩

指导教师意见

 

指导教师签字:

年月日

系意见

 

系主任签字:

年月日

 

QPSK的FPGA实现

摘要

数字调制解调技术在数字通信中占有非常重要的地位,数字通信技术与FPGA的结合是现代通信系统发展的一个必然趋势。

QPSK数字调制技术,具有频谱利用率高、频谱特性好、抗干扰性能强、传输速率快等突出特点,在移动通信、卫星通信中具有广泛应用价值,但是基于FPGA的全数字QPSK调制解调仍在进一步研究发展中。

本文首先叙述了QPSK调制解调技术的工作原理和数字式调制与解调的特点。

其次对QPSK的调制和解调设计展开讨论。

设计包括QPSK的调制、解调两部分,基于对整个设计的要求进行分析及对QPSK实现FPGA进行功能的分解,以此划分红比较小的模块,自下而上设计系统;根据QPSK的原理分别画出QPSK调制、解调的实现框图。

设计中设定每个比特对应特定的载波,并以载波作为比较,实现最后的对应的输出结果。

最后基于VHDL语言分别完成QPSK的调制与解调,完成系统的设计方案,在MAX+PLUSII环境下对模块逻辑、时序进行仿真调试的仿真结果表明了该设计的正确性,并综合得出RTL的结构图。

关键词:

QPSK,FPGA,调制,解调

FPGAIMPLEMENTATIONOFQPSK

 

ABSTRACT

 

TechnologyofdigitalmodulationanddemodulationplaysanimportantroleindigitalcommunicationsystemandthecombinationofdigitalcommunicationtechnologyandFPGAiscertainlyatrend.QPSKdigitalmodulationtechniquehasfeaturesofhigh-spectrumutilizationratio,betterspectrumspecification,strongeranti-interferenceperformanceandfasterbaudrateandhasbeenappliedwidelyinmobilecommunicationsystemandsatellitecommunicationsystem.Butall-digitalQPSKmodulationanddemodulationbasedonFPGAisstilltowardsfurtherresearchanddevelopment.

Atfirst,thispaperdescribestheprincipleofQPSKmodulationanddemodulationtechnologyaswellasthecharacteristicsofdigitalmodulationanddemodulation.InthefollowingwordswemainlyprovidethediscussioncombinedwiththeresearchanddesignoftheQPSKmodulationanddemodulation.Thisdesignhastwoparts,whichareQPSKmodulationanddemodulation.TheanalysisonthewholedesignrequirementandthedecompositionofQPSKfunctioninFPGAlaythebasisforthesmallerdividedmodules.Thenwecanstartupthebottom-updesign.Respectively,wedrawQPSKmodulationanddemodulationdiagramonthebasisoftheprincipleofQPSK.Thedesignsupposeseachbitcorrespondstoaspecificcarrier.Toachievethefinalresultofthecorrespondingoutput,weshouldtakecarrierasacomparison.Intheend,weuseVHDLtoachievetheQPSKmodulationanddemodulation.Aftercompletingthewholesystemdesign,itgoesonwithsimulationonmodulelogic,timingintheMAX+PLUSIIenvironment.ThesimulationresultsindicatethatthedesigniscorrectandcomprehensivelydeducetheRTL'schart.

KEYWORDS:

QPSK,FPGA,Modulation,Demodulation

目 录

 

前言

QPSK是在无线通信中应用比较广泛的一种调制方式,它具有较高的频谱利用率、较强的抗干扰性。

近年来,随着微电子技术的发展,尤其是数字信号处理器芯片速度的提高,数字信号处理技术在通信系统中的应用已越来越引起人们的重视,用原理图和VHDL语言实现全数QPSK调制的思想和方法。

作为全数字调制系统的实现,具有其可行性。

FPGA是目前硬件设计研究的热点,与传统电路设计方法相比,FPGA具有功能强大,开发过程投资小、周期短,可反复编程修改,开发工具智能化等特点。

本设计通过基于FPGA,应用VHDL实现QPSK的调制、解调电路。

并在MAX+PLUSII实现仿真,判断电路设计的正确性。

 

第1章绪论

 

§1.1设计的依据与意义

近几十年来,卫星通信由于具有覆盖地域广、通信距离远、通信容量大、传输质量好和具有地址连接能力等优点,已成为现在信息社会的一种重要通信手段。

数字调制技术作为这个领域极为重要的一个方面,也得到了迅速的发展。

数字调制信号又称为键控信号,调制过程可用键控的方法由基带信号对载频信号的振幅、频率及相位进行调制,其中QPSK(即4PSK)是MPSK(多进制相移键控)中应用较广泛的一种调制方式。

QPSK(四相相移键控)具有较高的频谱利用率和较好的误码性能,并且实现复杂度小,解调理论成熟,已成为新一代无线接入网物理层和B3G通信中使用的基本调制方式。

QPSK调制技术与FSK、BPSK等调制技术相比,不但抗干扰能力强,而且能更经济有效地利用频带。

目前,QPSK调制技术已广泛应用于数字电视、IEEE802.11的2Mbps数据传输机制、数字微波通信系统、军事通信、卫星数据传输、有线电视的上行传输、宽带接入与移动通信等领域。

数字调制解调技术在数字通信中占有非常重要的地位,而数字通信技术与FPGA的结合是现代通信系统发展的一个必然趋势。

FPGA器件(FieldprogrammableGateArray)是八十年代中期出现的一种新概念。

利用FPGA技术设计的产品具有重量轻、体积小、速度快、保密程度高、功耗低等特点,极大地提高了产品的性价比和竞争力,大大缩短了设计周期,减少了设计费用,降低了设计风险。

§1.2同类产品的概况

目前,随着数字通信系统的发展,数字信号的调制识别技术在军事、民用领域都有十分广泛的应用价值。

近年来,各种调制技术层出不穷,一直受到人们的关注。

由于QPSK能够非相干解调并且频谱利用率高,所以已用于很多国家的无线通信中,如北美移动/个人无线标准IS-54和IS-136以及欧洲私人商务无线电的TETRA标准等等。

在这些应用中,一些高性能的QPSK调制/解调芯片相继问世。

国外已经有一些关于全数字QPSK调制解调器方面的研究成果和芯片问世,如ST公司ST55、ST5518,比利时NEWTEC公司的NTC-2077/FT、美国休斯公司的BCD4C-M5000,美国HARRTS公司和德国HISRSCHMANN赫曼公司也都有相关的芯片。

又如INTEL公司的STEL-2176是一款全数字调制解调芯片,兼容IEEE802.14、MCNS和DAVIC等标准。

解调部分可直接输入高达50MHZ的中频模拟信号,信号宽带可达8MHZ,可解调16/64/256QAM的连续信号;调制部分,可输出SMHZ-65mhz的连续/突发信号,调制方式可以是BPSK/QPSK/QAM,速率最高可高达40mbps(16QAM)。

与此同时,国内也有一些成果,比如北京海尔集成电路设计有限公司研制的符合DVB-S标准的卫星信号解码器HQPSK-DV。

该芯片包括载波恢复、符号同步、解调、前向纠错和码流解扰等功能。

FPGA技术在许多领域均有广泛的应用,特别是在无线通信领域里,由于具有极强的实时性,使其对话音进行实时处理成为可能。

由于它是通过面向芯片结构指令的软件编程来实现其功能的,因而仅修改软件而不需改硬件平台就可以改进系统原有设计方案或原有功能,因而具有极大的灵活性;又由于FPGA芯片并非专门为某种功能设计的,因而使用范围广、产量大、价格可以降到很低。

就FPGA而言,由于亚微米工艺的采用,其速度更快,门数更多。

目前Lucent和XILINX公司均有10万门以上的产品,并且集成了一些新的功能,如SystemonChip,ProgrammingonSystem等,使其更加灵活。

所以FPGA在无线通信系统中大量应用,促进了无线通信的发展;而无线通信的蓬勃发展又促进了FPGA技术的不断进步。

第2章数字通信系统

 

§2.1通信系统的数字化

为什么通信系统,无论是军用系统还是商用系统,都在进行数字化?

这有许多原因,其中最主要的原因是:

与模拟信号相比,数字信号更易于再生。

数字通信系统传输线上是理想的二进制数字脉冲。

波形的形状受到两个基本因素的影响:

(1)所有传输和电路的频率传递函数都是非理想的;

(2)存在电子噪声或其他的干扰,这两个因素都会引起波形的失真,并且此项失真是传输线长度的函数,在传输脉冲仍然能够被可靠识别之前(即在传输脉冲恶化倒模糊状态之前),由数字放大器将脉冲放大,并恢复其最初的理想形状,这样脉冲就“再生”了。

在传输系统中,在规则的时间间隔内执行这种功能的电路称为“再生中继器”。

与模拟电路相比,数字电路有更好的抗失真和干扰的能力。

二进制数字电路的工作状态只有两个—开或关,因此只有能够把电路从一个状态变换倒另一个状态的干扰才能起到破坏作用。

这样的两个状态工作有助于信号的再生,因而能在传输中有效的抑制噪声和其他累计干扰。

然而,模拟信号不是“双态”信号,它的波形有无限多个,在模拟电路中,即使很小的干扰也能导致信号产生难以接受的失真,且失真一旦产生,就无法通过放大器来抑制。

因为模拟信号不能去除累积的噪声,所以就不能很好地再生信号。

若采用数字技术,通过检错与纠错可以获得极低的差错概率从而产生高保真信号,而模拟系统则没有类似的技术。

数字通信系统还有其他的优点:

数字电路比模拟电路更可靠,且其产生成本比模拟电路底;数字硬件比模拟硬件更具有灵活性,比如微机处理器、数字开关、大规模集成(LSI)电路等,时分复用的模拟信号更简单;不同类型的数字信号(数报、电报、电话、电视等)在传输和交换中都被堪称是相同的信号——比特信号;为方便交换,还可将数字信号以数据包的形式进行处理。

数字技术因为能过抗自然干扰和人为干扰,能够进行加密而更适用于信号处理。

计算机于计算机之间、数字设备或终端与电脑之间的数据通信需求越来越多,这些数字终端可以通过数字通信链路获得更好的服务。

数字通信系统获得这些优点的代价是什么?

与模拟系统相比,数字系统更需要更多的信号处理技术。

在通信的各个阶段,数字系统都需要分配一部分资源用于实现同步,而在模拟系统中,同步相对比较容易。

数字通信系统的另一个缺点是具有“门限效应”,即当信噪比下降倒一定限度时,服务质量就会急速恶化,而大部分模拟通信系统服务质量的下降则比较平滑。

§2.2数字通信与模拟通信的性能比较

模拟通信系统与数字通信系统的一个主要区别是性能评估的方法不同。

模拟系统的波形是连续的,因而有无穷多个,这说明接收机必需处理无穷多个波形。

衡量模拟通信系统的性能的指标是保真标准,如信噪比、百分比失真、发端波形和收端波形之间的期望均方误差。

与模拟通信系统不同,数字通信系统发送的是代表数字的信号,这些数字组成一个有限集或字符表,且对于接收机而言该表是先验而知的。

衡量数字通信系统的一个性能参数是错误判决的概率或者差错概率(PE)。

§2.3数字通信系统的基本组成部分

图2-1显示了一个数字通信系统的功能性框图和基本组成部分。

信源输出的可以是模拟信号,如音频或是视频信号;也可以是数字信号,如电传机的输出,该信号在时间上是离散的,并且具有有限个输出字符。

在数字通信系统中,由信源产生的消息变换成二进制数字序列。

理论上,应当用尽可能少的二进制数字表示信源输出(消息)。

换句话说,我们要寻求一种信源输出的有效的表示方法,使其很少产生或不产生沉余。

将模拟或数字信源的输出有效地变换成二进制数字序列的处理过程称为信号编码或数据压缩。

图2-1数字通信系统的基本组成部分

由信源编码器输出的二进制数字序列称为信息序列,它被传送倒新到编码器。

信道编码器的目的是在二进制信息序列中以受控的方式引入一些沉余,以便于在接收机中用来克服信号在信道中传输时所遭受的噪声和干扰的影响。

因此。

所增加的沉余是用来提高接受数据的可靠性以及改善接受号的逼真度的。

实际上,信息序列中的沉余有助于接收机译出期望的信息序列。

例如,二进制信息序列的一种(平凡的)形式的编码就是将每个二进制数字简单重复m次,这里m为一个整数。

更复杂的(不平法的)编码涉及倒一次取K个信息比特,并将每个K比特序列映射成唯一的N比特序列,该序列成为码字。

以这种方式对数据编码所引入的沉余的大小是由比特n/k来衡量的。

该比特的倒数,即k/n,称为码的速率或简称码率。

信道编码器输出的二进制序列送至数字调制器,它是通信信道的接口。

因为在实际中遇到的几乎所有的通信信道都能够传输电信号(波形),所以数字调制的主要目的是将二进制信息序列映射成信号波形。

为了详细说明这点,假定已编码的信息序列以均匀速率R(b/s)一次一个比特传输,数字调制器可以简单地将二进制数字"0"映射成波形s0(t),信道编码器输出的二进制序列送至数字调制器,它是通信信道的接口。

因为在实际中遇到的几乎所有的通信信道都能够传输电信号(波形),所以数字调制的主要目的是将二进制信息序列映射成信号波形。

为了详细说明这点,假定已编码的信息序列以均匀速率R(b/s)一次一个比特传输,数字调制器可以简单地将二进制数字"0"映射成波形s0(t),而二进制数字“1”映射成波形s1

(1)。

在这种方式中,信道编码器输出的每个比特是分别传输的。

我们把它称为二进制调制。

另一种方式。

调制器一次输出b个已编码的信息比特,其方法是采用M=2个不同的波形si(t),i=0,1,2,……m。

每一个波形采用来传输2b个可能的b比特序列中的一个序列。

我们称这种方式为M调制(m>2)。

注意,每个b/R秒就有一个新的b比特序列进入调制器。

因此,当信道比特率R固定,与一个b比特序列相应的M个波形之一的传输时间量是二进制调制系统时间周期的b倍。

通信信道是用来将发送机的信号发送给接收机的物质媒介。

在无线传输中,信道可以是大气(自由空间)。

另一个方面,电话信道通常使用各种各样的物质媒介,包括有线线路、光缆和无线(微波)等。

物理用什么物理媒质来传输信息,其基本特点是发送信号随机地受到各种可能机理的恶化,例如由于电子器件产生的加性热热噪声、人为噪声(如汽车点火噪声)及大气噪声(如在雷暴雨时的闪电)。

在数字通信系统的接收端,数字解调器对受到信道恶化的发送波形进行处理,并将该波形还原成一个数的序列,该序列表示发送数据符号的估计值(二进制或M元)。

这个数的序列被送至信道译码器,它根据信道编码器所用的关于码的知识及接受数据所含的沉余度重构初始的信息序列。

解调器和译码器工作性能好坏的一个度量是译码序列中发生差错的频度。

更准确地说,在译码器输出端的平均比特错误概率是解调器-译码器组合性能的一个度量。

一般地,错误概率是下列各种因素的函数:

码特征、用来在信道上传输信息的波形的类型、发送功率、信道的特征(即噪声的大小、干扰的性质等)以及解调和译码的方法。

作为最后一步,当需要模拟输出时,信源译码器从信道译码器接受机输出序列,并根据所采用的信源编码方法的有关知识重构由信源发出的原始信号。

由于信道译码的差错以及信源编码器可能引入的失真,在信源译码器输出端的信号只是原始信源输出的一个近似。

在原始信号与重构信号之间的信号差或信号差的函数是数字通信系统引入失真的一种度量。

§2.4数字调制技术

数字调制是将数字符号转换成合适信道特性的波形的过程。

基带调制中这些波形通常具有整形脉冲的形式,而在带通调制中则利用整形脉冲调制正弦信号,此正弦信号称为载波波形,或简称为载波。

将载波转换电磁波传播到指定的地点就可以实现无线传输。

通过带通调制(模拟或数字)过程,可以将携带信息的信号转换为正弦波形;对于数字调制,一个周期为T的正弦波形代表了一个码元。

某个正弦信号可以用三个特征区别于其他正弦信号;幅度、频率和相位。

因此,带通调制可以对射频载波的幅度、频率和相位,或者三者之间的联合进行调制,传输的载波中三个参量随着信号的变化而变化。

载波的一般表达式为:

(2-1)

其中,A(t)是随时间变化的幅度,

是随时间变化的角度,也可以表示成

(2-2)

因此

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 求职职场 > 简历

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1