基于可编程逻辑器件的DDS设计毕业设计论文任务书Word文档下载推荐.docx

上传人:b****2 文档编号:15160089 上传时间:2022-10-28 格式:DOCX 页数:80 大小:1.23MB
下载 相关 举报
基于可编程逻辑器件的DDS设计毕业设计论文任务书Word文档下载推荐.docx_第1页
第1页 / 共80页
基于可编程逻辑器件的DDS设计毕业设计论文任务书Word文档下载推荐.docx_第2页
第2页 / 共80页
基于可编程逻辑器件的DDS设计毕业设计论文任务书Word文档下载推荐.docx_第3页
第3页 / 共80页
基于可编程逻辑器件的DDS设计毕业设计论文任务书Word文档下载推荐.docx_第4页
第4页 / 共80页
基于可编程逻辑器件的DDS设计毕业设计论文任务书Word文档下载推荐.docx_第5页
第5页 / 共80页
点击查看更多>>
下载资源
资源描述

基于可编程逻辑器件的DDS设计毕业设计论文任务书Word文档下载推荐.docx

《基于可编程逻辑器件的DDS设计毕业设计论文任务书Word文档下载推荐.docx》由会员分享,可在线阅读,更多相关《基于可编程逻辑器件的DDS设计毕业设计论文任务书Word文档下载推荐.docx(80页珍藏版)》请在冰豆网上搜索。

基于可编程逻辑器件的DDS设计毕业设计论文任务书Word文档下载推荐.docx

掌握解决工程实际问题的基本方法和步骤;

培养学生独立思考和独立工作的能力;

熟练掌握使用计算机进行电子系统设计的方法和步骤。

三、设计要求

本设计有两个方向的设计要求可选:

①利用可编程逻辑器件和EEPROM、DAC实现DDS的基本功能,其中采用EEPROM芯片28C64储存波形数据,使用CPLD实现的控制器和DAC芯片DAC0832完成波形周期、幅度、相位的控制,应保证输出幅度不小于5V(有效值);

②利用可编程逻辑器件设计DDS芯片AD9834的控制器,来实现直接数字频率合成。

电路可以实现的波形有:

正弦波,方波,三角波,正向锯齿波,反向锯齿波、脉冲波及各种调制波形。

四、设计说明书应符合毕业论文撰写规范,包括的内容

1.摘要、目录、前言、正文、参考文献、附录等。

2.本次设计基本情况概述,各单元电路和整机的工作原理说明。

3.设计内容的硬件原理图、程序清单、元件清单。

4.逻辑仿真和时序仿真结果。

5.工艺文件(印制电路板图、元器件工艺参数、机箱参数、配套开关电源)。

6.结论

五、设计应完成的图纸

1.系统原理框图

2.各仿真结果图

3.详细电路原理图和印制电路板图

 

六、主要参考资料

1.DDS芯片原版资料

2.全国大学生电子设计竞赛获奖作品选编北京理工大学出版社

3.CPLD系统设计技术入门与应用黄正谨电子工业出版社

4.公开发表的有关DDS技术及其实现方法的论文。

七、进度要求

1、实习阶段第8周(4月19日)至第10周(5月8日)共3周

2、设计阶段第1周(3月1日)至第7周(4月17日)共7周

第11周(5月8日)至第18周(7月7日)共8周

3、答辩日期第18周(2010年7月3日)

八、其它要求

基于可逻辑编程器件的DDS设计

摘要

直接数字频率合成(DDS)技术采用全数字的合成方法,产生的信号具有频率高、频率切换速度快。

频率切换时相位连续,输出相位噪声低和可以产生任意波形等诸多优点。

本文在对现有DDS技术的大量文献调研的基础上,提示了符合FPGA结构的DDS设计方案并利用MAXPLUS软件在EMPROM系列芯片上进行了实现,详细的介绍了本次设计的具体实现过程和方法,将现场可逻辑编程器件FPGA和DDS技术相结合,具体的体现了基于VHDL语言的灵活设计和修改方式是对传统频率合成实现方法的一次重要改进。

FPGA器件作为系统控制的核心,其灵活的现场可更改性,可再配置能力,对系统的各种改进非常方便,在不更改硬件电路的基础上还可以进一步提高系统的性能。

文章给出了仿真结果,经过验证本设计能够达到其预期性能指标。

关键词:

直接数字频率合成器(DDS)、硬件描述语言(VHDL)、现场可编程门阵列(FPGA)

BasedonProgrammablelogicdevicesDDSdesign

Abstract

TheDDS(DirectDigitalFrequencySynthesis)techniqueaboptsfull-digitalsynthesismethods.Thegeneratedsignalshaveadvantagesofhighfrequencyresolutions,fastfrequencyswitching,continuousphasewhilefrequencyswitching,lownoisephaseandbeingabletogeneratearbitrarywaveforms.Inthiswork,afterreviewingalotofliteraturespublishedonDDStechnology,DDSschemebasedonFPGAstructureareproposed,andthenimplementedinAEMPROMseriesFPGAusingMAXPLUStoolthepaperintroducedtheconcrete.Implementationprocess,thiswayassociatesDDSwithfieldprogrammablegatearrav(FPGA)technology,thewaybasedonVHDLisflexibleindesigningandmodifying,whichisaimportantinnovationtothetradionsynthesizeway,FPGA.Devicecontrolcoreassystem,itsflexiblescenecanaltering,candisposeabilityagain,veryconvenienttovariouskindsofimprovementofthesystem,canalsoimprovesystematicperformancefurtheronthebasisofalteringhardwarecircuit.attheendofpaper,theauthordisplayssimulationsresult,afterverification,thedesignmeetsthedemandoforiginaldefinition.

Keywords:

DDS、FPGA、VHDL

前言

直接数字频率合成技术(DirectDigitalFrequencySynthesis),即DDFS,一般简称(DDS)是从相位直接合成所需波形的一种新的频率合成技术。

近年来,DDS技术和器件水平的不断发展,使得DDS合成技术也得到了飞速的发展.目前,该技术在相对带宽、频率转换时间、相位连续性、正交输出、高分辨力以及集成化等一系列性能指标已经超过了传统的频率合成技术所能达到的水平,从而完成了频率合成技术的又一次飞跃,同时也已成为目前应用最广泛的频率合成技术。

第1章绪论

1.1频率合成的发展历程

频率合成器是电子系统的心脏,是决定电子系统性能的关键设备。

随着现代无线电通信事业的发展,移动通讯雷达武器和电子对抗等系统对频率合成器提出越来越高的要求。

低相噪、高纯频谱和高速捷变的频率合成器一直是频率合成技术发展的主要目标。

从频率合成技术的发展过程看频率合成的方法主要有三种:

1)直接频率合成,它是最早由Finden首先提出的的合成方法。

它是使基准信号通过脉冲形成电路来产生丰富谐波脉冲,随后通过混频、分频、倍频和带通滤波器完成频率的变换和组合,以产生我们需要的大量离散频率从而实现频率合成。

2)锁相频率合成,是应用模拟或数字锁相环路的间接频率合成。

它被称为第二代频率合成技术。

早期的合成器使用模拟锁相环,后来又出现了全数字锁相环和数模混合的锁相环。

数字鉴相器、分频器加模拟环路滤波压控振荡器的混合锁相环是目前最为普遍的PLL组成方式。

与直接频率合成不同的是,锁相频率合成的系统分析重点放在PLL的跟踪、噪声、捕捉性能和稳定性的研究上,不放在组合频率的抑制上。

3)直接数字频率合成(DDS)。

随着数字技术的发展,人们重新想到了直接合成法,出现了直接数字频率合成器DDS,导致了第二次频率合成技术的飞跃,它是用数字计算机和数模变换器来产生信号该技术出现于七十年代,从而揭开了频率合成技术发展的新篇章,标志着频率合成技术迈进了第三代。

1.2DDS的优点与缺点

DDS是一种全数字化的频率合成方法。

DDS频率合成器主要由频率寄存器、相位累加器、波形ROM、D/A转换器和低通滤波器组成。

在系统时钟一定的情况下,输出频率决定于频率寄存器的中的频率字。

而相位累加器的字长决定了分辨率。

基于这样的结构DDS频率合成器具有以下优点:

1)频率分辨率高,输出频点多,可达个频点(假设DDS相位累加器的字长是N);

2)频率切换速度快,可达us量级;

3)频率切换时相位连续;

4)可以输出宽带正交信号;

5)输出相位噪声低,对参考频率源的相位噪声有改善作用;

6)可以产生任意波形;

7)全数字化实现,便于集成,体积小,重量轻。

虽然DDS有很多优点但也有其固有的缺点:

1)杂散抑制差这是DDS的一个主要特点。

由于DDS一般采用了相位截断技术,它的直接后果是给DDS的输出信号引入了杂散。

2)工作频带受限。

根据DDS的结构和工作原理,DDS的工作频率与器件速度和基准频率有直接的关系,但随着目前微电子技术水平的不断提高,DDS工作频率也有很大提高。

3)相位噪声与其它频率合成器相比,DDS的全数字结构使得相位噪声不能获得很高的指标,DDS的相位噪声主要由于参考时钟信号的性质参考时钟的频率与输出频率之间的关系,以及器件本身的噪声基底决定。

1.3发展前景

近几年超数字电路的发展以及对DDS的深入研究,DDS的最高工作频率以及噪声性能已接近并达到锁相频率合成器相当的水平。

随着这种频率合成技术的发展,现已广泛应于通讯、导航、雷达、遥控遥测、电子对抗以及现代化的仪器仪表等领域。

直接数字频率合成器的优点是在微处理器的控制下能够准确而快捷地调节输出信号的频率、相位和幅度。

此外,DDS具有频率和相位分辨率高、频率切换速度快、易于智能控制等突出特点。

近几年来AD和Qualcomm等几家公司根据这些改进技术推出了一系列性能优良的DDS专用集成电路。

其工作频率可达IGHZI频率分辨率可到MHZ,排除DAC的限制,杂散指标已达到-70dbc以下。

其应用领域也不在限于频率合成,已有专门用于产生LFM信号的。

第2章现场可编程门阵列(FPGA)

2.1EDA技术的介绍

2.1.1EDA的简介

EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。

利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。

本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。

EDA设计可分为系统级、电路级和物理实现级.

2.2FPGA的介绍

2.

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 人文社科 > 法律资料

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1