基于FPGA的函数信号发生器设计毕业设计论文 精品Word格式.docx

上传人:b****2 文档编号:14169244 上传时间:2022-10-19 格式:DOCX 页数:37 大小:423.25KB
下载 相关 举报
基于FPGA的函数信号发生器设计毕业设计论文 精品Word格式.docx_第1页
第1页 / 共37页
基于FPGA的函数信号发生器设计毕业设计论文 精品Word格式.docx_第2页
第2页 / 共37页
基于FPGA的函数信号发生器设计毕业设计论文 精品Word格式.docx_第3页
第3页 / 共37页
基于FPGA的函数信号发生器设计毕业设计论文 精品Word格式.docx_第4页
第4页 / 共37页
基于FPGA的函数信号发生器设计毕业设计论文 精品Word格式.docx_第5页
第5页 / 共37页
点击查看更多>>
下载资源
资源描述

基于FPGA的函数信号发生器设计毕业设计论文 精品Word格式.docx

《基于FPGA的函数信号发生器设计毕业设计论文 精品Word格式.docx》由会员分享,可在线阅读,更多相关《基于FPGA的函数信号发生器设计毕业设计论文 精品Word格式.docx(37页珍藏版)》请在冰豆网上搜索。

基于FPGA的函数信号发生器设计毕业设计论文 精品Word格式.docx

本文最后给出了整个系统的仿真结果,即正弦波、方波、锯齿波的波形输出。

实验表明,用现场可编程门阵列(FPGA)设计实现的采用直接数字频率合成(DDS)技术的函数信号发生器,克服了传统方法的局限,实现了信号发生器多波形输出以及方便调频、调幅的功能。

关键词函数信号发生器;

直接数字频率合成;

现场可编程门阵列;

VerilogHDL

 

Abstract

FunctionGeneratorisanindispensabletoolinaprocessofvarioustestsandexperiments.Itiswidelyusedincommunication,measurement,radar,control,teachingandotherfields.WiththedevelopmentofChina'

seconomicandtechnological,thecorrespondingtestequipmentandtestmethodsarealsoputforwardhigherrequirements,andthesignalgeneratorhasbecomeavitaltestinstrument.

Thearticleexaminestheseveralimplementationsofthefunctiongenerator.Andithasachievedthefunctiongeneratorwhichiscompletedbydirectdigitalfrequencysynthesis(DDS)technology.Throughunderstandingthedirectdigitalfrequencysynthesis(DDS)technology,thispaperchosetotheAlteraCorporations’FPGAchipsasthecoreofdesign.Thefunctiongeneratorwhichcanproducesine,squarewave,sawtoothwavewasdesigned.ItalsousedhardwaredescriptionlanguageVerilogHDLasdevelopmentlanguage.Thepaperdescribedthedesignofthemainmodule,suchasdirectdigitalsynthesizer(DDS),waveformgenerationandmodulationmodule.Andthecorrespondingsimulationresultswerealsopresented.

Atlast,thesimulationresultsofthewholesystemwerepresented,thatis,sine,square,sawtoothwaveformhasbeencarriedout.ExperimentsshowthatthefunctiongeneratorbasedonFPGAanddirectdigitalfrequencysynthesis(DDS)technologyhasovercamethelimitationsoftraditionalmethodsandachievedasignalgeneratorwhichcangeneratemultiplewaveformsandhasfacilitateFM,AMfunction.

KeywordsFunctionGenenratorDirectDigitalFreguencySynthesizer

FPGAVerilogHDL

1绪论

1.1背景及意义

不论是在生产、科研还是教学上,信号发生器都是电子工程师信号仿真实验的最佳工具。

而且,信号发生器的设计方法多,设计技术也越来越先进。

随着我国经济和科技的发展,对相应的测试仪器和测试手段也提出了更高的要求,信号发生器己成为测试仪器中至关重要的一类,因此开发信号发生器具有重大意义。

传统的信号发生器大多采用专用芯片或单片机或模拟电路,成本高或控制方式不灵活或波形种类较少等不能满足要求。

本课题的目的是研究函数信号发生器的设计方法,克服传统方法的缺点,用更好的方法设计出比较复杂的调频、调幅功能的函数信号发生器。

1.2波形发生器研究现状

1.2.1波形发生器的发展状况

波形发生器是能够产生大量的标准信号和用户定义信号,并保证高精度、高稳定性、可重复性和易操作性的电子仪器。

函数波形发生器具有连续的相位变换和频率稳定性等优点,不仅可以模拟各种复杂信号,还可对频率、幅值、相移、波形进行动态及时的控制,并能够与其它仪器进行通讯,组成自动测试系统,因此被广泛用于自动控制系统、振动激励、通讯和仪器仪表领域。

在70年代前,信号发生器主要有两类:

正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。

这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形,则电路结构非常复杂。

这种情况,主要表现为两个突出问题,一是通过电位器的调节来实现输出频率的调节,因此很难将频率调到某一固定值;

二是脉冲的占空比不可调节。

在70年代后,微处理器的出现,可以利用处理器、A/D和D/A,硬件和软件使波形发生器的功能扩大,产生更加复杂的波形。

这时期的波形发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。

90年代末,出现几种真正高性能、高价格的函数发生器,但是HP公司推出了型号为HP77OS的信号模拟装置系统,它由HP877OA任意波形数字化和HP1776A波形发生软件组成。

HP877OA实际上也只能产生8种波形,而且价格昂贵。

不久以后,Analogic公司推出了型号为Data-2020的多波形合成器,Lecroy公司生产的型号为9100的任意波形发生器等。

到了二十一世纪,随着集成电路技术的高速发展,出现了多种工作频率可过GHz的DDS芯片,同时也推动了函数波形发生器的发展。

2003年,Agilent的产品33220A能够产生17种波形,最高频率可达20M。

2005年的产品N6030A能够产生高达500MHz的频率,采样的频率可达1.25GHz。

由上面的产品可以看出,函数波形发生器发展很快。

.近几年来,国际上波形发生器技术发展主要体现在以下几个方面:

1.过去由于频率很低应用的范围比较狭小,输出波形频率的提高,使得波形发生器能应用于越来越广的领域。

波形发生器软件的开发正使波形数据的输入变得更加方便和容易。

波形发生器通常允许用一系列的点、直线和固定的函数段把波形数据存入存储器。

同时可以利用一种强有力的数学方程输入方式,复杂的波形可以由几个比较简单的公式复合成v=f(t)形式的波形方程的数学表达式产生。

从而促进了函数波形发生器向任意波形发生器的发展,各种计算机语言的飞速发展也对任意波形发生器软件技术起到了推动作用。

目前可以利用可视化编程语言(如VisualBasic,VisualC等等)编写任意波形发生器的软面板,这样允许从计算机显示屏上输入任意波形,来实现波形的输入。

2.与VXI资源结合。

目前,波形发生器由独立的台式仪器和适用于个人计算机的插卡以及新近开发的VXI模块。

由于VXI总线的逐渐成熟和对测量仪器的高要求,在很多领域需要使用VXI系统测量产生复杂的波形,VXI的系统资源提供了明显的优越性,但由于开发VXI模块的周期长,而且需要专门的VXI机箱的配套使用,使得波形发生器VXI模块仅限于航空、军事及国防等大型领域。

在民用方面,VXI模块远远不如台式仪器更为方便。

3.随着信息技术蓬勃发展,台式仪器在走了一段下坡路之后,又重新繁荣起来。

不过现在新的台式仪器的形态,和几年前的己有很大的不同。

这些新一代台式仪器具有多种特性,可以执行多种功能。

而且外形尺寸与价格,都比过去的类似产品减少了一半。

1.2.2国外波形发生器产品介绍

早在1978年,由美国Wavetek公司和日本东亚电波工业公司公布了最高取样频率为5MHz,可以形成256点(存储长度)波形数据,垂直分辨率为8bit,主要用于振动、医疗、材料等领域的第一代高性能信号源。

经过将近30年的发展,伴随着电子元器件、电路、及生产设备的高速化、高集成化,波形发生器的性能有了飞速的提高,其变得操作越来越简单,而输出波形的能力越来越强。

波形操作方法的好坏,是由波形发生器控制软件质量保证的,编辑功能增加的越多,波形形成的操作性越好。

1.3本设计的主要工作

本文在广泛收集相关资料的基础上,对直接数字频率合成技术进行了深入研究,采用可编程逻辑器件完成了本次设计。

主要工作如下:

1.基于FPGA的DDS模块设计

采用Altera公司的的EP2C35F672C8芯片作为产生波形数据的主芯片,通过硬件编程语言实现DDS模块电路,这部分工作需要熟悉DDS原理,FPGA的开发流程,Verilog语言编程以及QuartusⅡ开发环境。

2.信号波形调幅模块设计

利用硬件编程语言设计乘法器,实现波形的幅度调制功能。

3.正弦信号数据ROM设计

ROM的初始化文件设计,利用MegaWizardPlug-InManager定制正弦信号数据ROM。

2系统基本原理

2.1函数信号发生器的几种实现方式

任意波形发生器的实现方案主要有程序控制输出、DMA输出、可变时钟计数器寻址和直接数字频率合成等多种方式。

2.1.1程序控制输出方式

计算机根据波形的函数表达式,计算出一系列波形数据瞬时值,并定时地逐个传送给D/A转换器,合成出所需要的波形。

这种方式具有电路简单、实现方便等特点。

但数据输出定时不准确,会影响信号的频率和相位。

波形数据输出依靠指令的执行来完成,当需要同时输出多个信号时,相邻信号通道的输出存在时间差,受计算机运行速度的限制,输出信号的频率较低。

2.1.2DMA输出方式

DMA(directmemoryaecess)方式输出不依赖于程序的执行,由DMA控制器申请总线控制权,通过地址总线给出存储器的地址信号,同时选通存储器和D/A转换器,在两者之间建立直接的数据通道

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > IT计算机 > 互联网

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1