EDA课程设计彩灯控制器Word格式文档下载.docx

上传人:b****2 文档编号:14151454 上传时间:2022-10-19 格式:DOCX 页数:16 大小:683.61KB
下载 相关 举报
EDA课程设计彩灯控制器Word格式文档下载.docx_第1页
第1页 / 共16页
EDA课程设计彩灯控制器Word格式文档下载.docx_第2页
第2页 / 共16页
EDA课程设计彩灯控制器Word格式文档下载.docx_第3页
第3页 / 共16页
EDA课程设计彩灯控制器Word格式文档下载.docx_第4页
第4页 / 共16页
EDA课程设计彩灯控制器Word格式文档下载.docx_第5页
第5页 / 共16页
点击查看更多>>
下载资源
资源描述

EDA课程设计彩灯控制器Word格式文档下载.docx

《EDA课程设计彩灯控制器Word格式文档下载.docx》由会员分享,可在线阅读,更多相关《EDA课程设计彩灯控制器Word格式文档下载.docx(16页珍藏版)》请在冰豆网上搜索。

EDA课程设计彩灯控制器Word格式文档下载.docx

3.1方案论证·

3.2模块设计·

6

3.3系统结构·

8

4仿真波形·

9

4.1波形图1·

4.2波形图2·

10

5锁管脚·

6附录程序·

11

6.1分频器·

6.2LED·

14

6.333进制计数器·

17

6.44选1选择器·

18

7总结·

19

8参考书目·

21

1.设计目的

学习EDA开发软件QuartusII的使用方法,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。

2.设计题目描述和要求

1)有八只LED,L0……L7

2)显示顺序如下表

3)显示间隔为0.25S,0.5S,1S,2S可调。

许号

L0

L1

L2

L3

L4

L5

L6

L7

1

2

5

7

12

13

15

16

20

22

23

24

25

26

27

28

29

30

31

32

3.设计原理

3.1方案论证

这次的彩灯设计采用的是分模块来完成的,包括分频器、计数器、彩灯控制器。

其中彩灯控制器是用来输出不同的花样,彩灯控制器的输出则是用一个33进制的计数器来控制。

本次的彩灯控制采用的模式6来进行显示。

3.2模块设计

1)分频器模块(图1)

设计要求显示间隔可调,所以设计分频器来实现间隔为0.25S,0.5S,1S,2S时间。

Rst:

输入信号复位信号。

Clk:

输入信号模块的功能即为分频输入的频率信号。

Clk_2、clk_4、clk_8、clk_16:

输出信号即为分频模块对输入信号clk的分频,分别为1/2分频输出、1/4分频输出、1/8分频输出、1/16分频输出。

2)33进制计数器模块(图2)

33进制模块用来控制彩灯输出模块,即确定彩灯控制器的不同的输出。

输入信号,复位信号。

输入信号,用来给模块提供工作频率。

Count-out[5..0]:

输出信号,即为33进制计数器的输出。

3)彩灯控制模块(图3)

彩灯控制模块用来直接控制彩灯的输出,使彩灯表现出不同的花样。

输入信号使彩灯控制模块输出为“11111110”,即让彩灯输出“序号0”。

Input[5..0]:

输入信号不同的输入使彩灯控制模块有不同的输出即彩灯显示出不同的花样。

Output[7..0]:

输出信号直接与彩灯相连来控制彩灯。

4)4选1选择器模块(图4)

In1、in2、in3、in4:

输入信号接分频器的输出。

Inp[1..0]:

输入信号用来控制选择器的选择,选择可调时间。

Output1:

输出信号输出不同的频率来控制彩灯显示频率。

Output2[6..0]:

输出信号显示可调时间模式。

3.3系统结构(图5)

整个系统就是各个分模块组成来实现最后的彩灯控制功能,系统用时钟来控制33进制计数器即控制彩灯控制模块来实现彩灯的不同输出。

4.时序仿真

4.1波形图1(图6)

4.2波形图2(图7)

5.锁管脚(图8)

6.附录程序

6.133进制计数器

LIBRARYieee;

USEieee.std_logic_1164.all;

ENTITYcounter_33IS

PORT

clk,rst:

INstd_logic;

count_out:

OUTintegerrange0to32);

ENDcounter_33;

ARCHITECTUREaOFcounter_33IS

BEGIN

PROCESS(rst,clk)

variabletemp:

integerrange0to33;

BEGIN

IFrst='

1'

THENtemp:

=0;

ELSIF(clk'

eventandclk='

)THEN

temp:

=temp+1;

if(temp=33)thentemp:

endif;

ENDIF;

count_out<

=temp;

ENDPROCESS;

ENDa;

6.2分频器

ENTITYfenpinqiIS

clk,rst:

INstd_logic;

clk_2,clk_4,clk_8,clk_16:

OUTstd_logic

);

ENDfenpinqi;

ARCHITECTUREcdOFfenpinqiIS

Begin

p1:

process(clk,rst)

variablea:

integerrange0to20;

begin

ifrst='

thenclk_2<

='

0'

;

else

ifclk'

then

ifa>

=1then

a:

clk_2<

=a+1;

endprocessp1;

p2:

variableb:

then

clk_4<

ifb>

=3then

b:

=b+1;

endprocessp2;

p3:

variablec:

clk_8<

ifc>

=7then

c:

=c+1;

endprocessp3;

p4:

variabled:

clk_16<

ifd>

=15then

d:

=d+1;

endprocessp4;

endcd;

6.3LED

ENTITYLEDIS

input:

ININTEGERRANGE0TO32;

rst:

instd_logic;

output:

OUTstd_logic_v

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > IT计算机 > 互联网

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1