双二选一多路选择器课程设计.docx

上传人:b****7 文档编号:10457635 上传时间:2023-02-11 格式:DOCX 页数:25 大小:981.20KB
下载 相关 举报
双二选一多路选择器课程设计.docx_第1页
第1页 / 共25页
双二选一多路选择器课程设计.docx_第2页
第2页 / 共25页
双二选一多路选择器课程设计.docx_第3页
第3页 / 共25页
双二选一多路选择器课程设计.docx_第4页
第4页 / 共25页
双二选一多路选择器课程设计.docx_第5页
第5页 / 共25页
点击查看更多>>
下载资源
资源描述

双二选一多路选择器课程设计.docx

《双二选一多路选择器课程设计.docx》由会员分享,可在线阅读,更多相关《双二选一多路选择器课程设计.docx(25页珍藏版)》请在冰豆网上搜索。

双二选一多路选择器课程设计.docx

双二选一多路选择器课程设计

双二选一多路选择器课程设计

双二选一多路选择器课程设计

1.实验目的

2.电路设计过程

2.1设计二选一多路选择器

2.2设计双二选一多路选择器

3.仿真结果

3.1创建工程准备工作

3.2创建新工程

3.3编译前置

3.4全程编译

3.5时序仿真

4.总结

 

一、实验目的

1、学会利用QuartusⅡ软件的原理图输入方法设计简单的逻辑电路;

2、熟悉QuartusⅡ软件的VHDL文本设计流程全过程;

3、学习简单组合电路的设计,层次化的设计方法

4、熟悉利用QuartusⅡ软件对设计电路进行仿真的方法;

二、电路设计过程

1、设计2选1多路选择器

a.使用Quartus建立工程准备项目

新建一个文件夹。

在d盘中,路径为D:

\wangchen。

打开Quartus软件

从【File】>>【New】>>【VHDL】新建文本编译窗口,出现新建项目框。

 

输入源程序,编写2选1多路选择程序

2选1多路源程序如下:

ENTITYmux21aIS

PORT(a,b,s:

INBIT;

y:

OUTBIT);

ENDENTITYmux21a;

ARCHITECTUREoneOFmux21aIS

BEGIN

PROCESS(a,b,s)

BEGIN

IFs='0'THENy<=a;ELSEy<=b;

ENDIF;

ENDPROCESS;

ENDARCHITECTUREone;

 

文件存盘

选择File→SaveAs命令,找到已建立的文件夹D:

\wangchen,存盘文件名应与实体的名字一致,即mux21a,其界面窗口如下图所示。

b创建工程

打开建立新工程管理窗,选择File→NewPrejectWizard工具选项创建设计工程命令,即弹出“工程设置”对话框如下图所示,单击对话框最上第一栏右侧的“…”按钮,找到文件夹D:

\wangchen,选种已存盘的文件mux21a,再单击打开按钮,既出现如图所示的设置情况.使用NewProjectWizard可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称,还可以指定要在工程中使用的设计文件、其他源文件、用户库和EDA工具,以及目标器件系列和具体器件等。

将设计文件加入工程中

单击图中下方的Next按钮,出现如下图所示的对话框,在弹出的对话框中单击Filename栏的按钮,将与工程相关的所有VHDL文件加入此工程,加入完成后单击Next按钮。

单击AddAll按钮,将设定的工程目录中的所有VHDL文件加入到程文件栏工中

目标芯片

单击图中Next按钮,即弹出如图所示的仿真器和综合器及目标器件对话框。

首先在Famil栏选芯片系列,在此选CycloneⅡ系列,在有效器件列表中选择专用器件,分别选择封装形式为TQFP,引脚输出144,器件速度级别为8,选择此系列的具体芯片是EP3C5E144C8,设计完成后单击Finish按钮。

工具设置

单击图中的Next按钮后,弹出图所示工具设置窗口,此窗口有3项选择.EDAdesignentry/synthesis用于选择输入的HDL类型和综合工具.EDAsimulation用于选择仿真工具.EDAtiminganalysistool用于选择时序分析工具,这是除Cyclone自含的所有设计工具以外的外加的工具,因此,如果都不做选择,表示选择Cyclone自含的所有工具.在此例中这3项都不做选择,单击Next后即弹出图所示”工程设置统计”窗口.最后单击图中Finish,即已设定好此工程,并出现CNT10的工程管理窗口.

QuartusⅡ将工程信息存储在工程配置文件中,它包含有关QuartusⅡ工程的所有信息,包括设计文件、波形文件、Signa1TapⅡ文件、内存初始化文件等,以及构成工程的编译器、仿真器和软件构建设置。

建立工程后,可以使用工具栏的Project→ADD/RemoveFilesProject页在工程中添加和删除、设计其它文件,在执行QuartusⅡ的Analysis&Synthesis期间,QuartusⅡ将按ADD/RemoveFilesProject页中显示的顺序处理文件。

c.编译前设置

择FPGA目标芯片:

选择Assignmemts菜单中的settings项。

选择配置器件的工作方式。

单击Device&PinOptions按钮,进入选择窗,这将弹出Device&PinOptions窗口,其对话框如下图所示。

在Configuration选项页,选择Genratecompressedbitstreams复选框,选择配置器件为EPCS4,其配置模式可选择ActiveSerial。

d.全程编译

QuartusII编译器是由一系列处理模块构成的,这些模块负责对设计项目的检错,逻辑综合、结构综合、输出结果的编辑配置,以及时序分析。

编译前首先选择Processing菜单的StartCompilation项,启动全程编译

对于Processing栏显示出的语句格式错误,可双击错误信息条文,即弹出对应的vhdl文件,在深色标记条处即为文件中的错误,再次进行编译直至排除所有错误。

e.5时序仿真

打开波形编辑器。

选择菜单File中的New项,在New窗口中选择OtherFiles中的VectorWaveformFile如图所示,单击OK按钮,即出现空白的波形编辑器

设置仿真时间区域,对于时序仿真来说,将仿真时间设置在一个合理的时间区域上十分重要。

通常设置时间范围在数十微妙间。

首先在Edit菜单中选择EndTime项,即弹出如图所示窗口。

在此例中整个仿真时间设置为50μs,单击OK按钮结束设置。

波形文件存盘

选择File→SaveAs命令,找到已建立的文件夹D:

\wangchen,存盘文件名应与实体的名字一致,即mux21a,其界面窗口如下图所示

将工程mux21a的端口信号节点选入波形编辑器中。

选择View菜单中的UtilityWindows项的NodeFinder项。

弹出的对话框如图所示,在Filter框中选Pins:

all,然后单击List按钮,于是在下方的NodesFound窗口中出现设计中的CNT10工程的所有端口引脚名。

用鼠标将重要的端口节点CLK、EN、RST、COUT和输出总线信号CQ分别拖到波形编辑窗,结束后关闭NodesFound窗口。

设定仿真时间宽度,选择Edit项及其Endtime选项,在Endtime选择窗中选择适当的仿真时间域,如可选50us,以便有足够长的观察时间。

 

编辑输入波形

用鼠标左键单击图所示窗口的时钟信号名CLK,使之变成蓝色条,再单击左列的时钟设置键

,下部分CLK的时钟周期设置为50ns;Clock窗口中的Dutycycle是占空比,默认为50,即50%占空比。

然后再分别设置EN和RST的电平,RST为复位端,EN为使能端。

最后设置好的激励信号波形如图下所示。

启动仿真器

在QuarturⅡ软件中仿真方式有两种,功能仿真和时序仿真,方法是:

在工具栏中选择processing→SimulaterTool即弹出如下图仿真方式选择窗口,在窗口Simulatermode处是时序仿真和功能仿真选择窗口,此例选择功能仿真Functionl。

选好后单击GenerateFunctionalSimulaterNellist按钮,再单击确定按钮,最后再单击图2.1.21中的start按钮,即完成仿真方式的确定。

仿真器参数设置

选择菜单Assignment→Settings,即弹出如图2.1.22选择仿真参数设置窗口,此例中选择的参数如图2.1.22所示。

启动仿真器。

现在所有设置进行完毕,在菜单Processing项下选择StartSimulation,也可以选择工具栏上的图表

观察仿真结果。

时序分析:

由上面两图可以得知:

当s=0时,y口输出a,当s=1时,y口输出b

2.设计双二选一多路选择器

a.生成二选一多路选择器模块符号

选择File→createl→symbol命令,建立模型

b.新建二选一多路选择器原理图文件

从【File】>>【New】>>【BlockDiagram/SchematicFile】新建文本编译窗口,出现新建项目框,名为MUX.bdf

 

在Block1.bdf窗口中任意处双击,弹出添加元件符号对话框图,这里选择如图所示元件。

单击OK。

与门符号被附在鼠标指针上,在Block1.bdf窗口中适当位置点击一下,放置该符号。

按ESC键后,完成一次元件的放置,再选择与放置其它元件和引脚,双击引脚符号在弹出的对话窗口中可改变其名称

图二选一多路选择器电路原理图

C.文件存盘、全程编译

点击保存,文件名为muxk.bdf,再将muxk.bdf置为顶层,进行全程编译,直至编译成功。

图编译

d、建立全加器仿真文件

点击新建按钮,选择others>>VectorWaveformFile,出现仿真文件编辑界面如图所示。

选择【Edit】>>【Endtime】出现设置仿真时间长度对话框如图所示,如可设为50us,单击OK结束设置

选择NodeFinder出现查找节点对话框如图所示。

在Filter栏中选择Pins:

all,在Lookin栏中选择Fadder,点击List按钮。

出现节点列表选择对话框如图所示。

点击中间全选按钮>>再点击OK,所示节点被加到波形编辑窗口如图所示。

编辑输入波形,用鼠标左键单击图所示窗口的时钟信号名CLK,使之变成蓝色条,再单击左列的时钟设置键

,下部分CLK的时钟周期设置为50ns;Clock窗口中的Dutycycle,占空比默认为50,即50%占空比。

然后再分别设置EN和RST的电平,RST为复位端,EN为使能端。

最后设置好的激励信号波形如图下所示。

选择择菜单Assignment→Settings,即弹出如图选择仿真参数设置窗口。

 

选择【Processing】>>【Startsimulation】或用快捷按钮进行波形仿真。

仿真成功后,生成仿真波形如图所示

程序分析:

这是一个双2选1多路选择器,a1、a2和a3分别为两个数字输入端的端口名,s0、s1为通道选择控制信号输入端的端口名,outy为输出端的端口名。

实体mux21a是一个2选一选通电路,实体muxk是元件的例化,其作用是将两个mux21a组合成一个3选1多路选择器。

 

三、仿真结果

二选一多路选择器仿真图

双二选一多路选择器仿真图

四、总结

通过本次课程设计对二选一多路选择器的设计和实现,使我熟悉QuartuasⅡ的VHDL文本设计的全过程,并积累总结了一些经验,整个设计过程运用了VHDL语言进行描述、简单组合电路和多层次电路的设计。

在二选一多路选择器仿真结果中出现延时,应该将a,b,s的周期增大些,且要保证单位为us,实验文件夹名字与程序中所用的实体名必须一致,负责导致程序运行时无法找到文件夹,无法正常工作。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 军事

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1