ImageVerifierCode 换一换
格式:DOCX , 页数:25 ,大小:981.20KB ,
资源ID:10457635      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/10457635.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(双二选一多路选择器课程设计.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

双二选一多路选择器课程设计.docx

1、双二选一多路选择器课程设计双二选一多路选择器课程设计双二选一多路选择器课程设计1.实验目的2.电路设计过程2.1设计二选一多路选择器2.2设计双二选一多路选择器3.仿真结果3.1创建工程准备工作3.2创建新工程3.3编译前置3.4全程编译3.5时序仿真4.总结一、实验目的1、学会利用Quartus 软件的原理图输入方法设计简单的逻辑电路;2、熟悉Quartus 软件的VHDL文本设计流程全过程;3、学习简单组合电路的设计,层次化的设计方法4、熟悉利用Quartus 软件对设计电路进行仿真的方法;二、电路设计过程1、设计2选1多路选择器a. 使用Quartus建立工程准备项目新建一个文件夹。在d

2、盘中,路径为D:wangchen 。打开Quartus软件从【File】【New】【VHDL】 新建文本编译窗口,出现新建项目框。输入源程序,编写2选1多路选择程序2选1多路源程序如下:ENTITY mux21a ISPORT (a,b,s:IN BIT; y:OUT BIT);END ENTITY mux21a;ARCHITECTURE one OF mux21a ISBEGINPROCESS(a,b,s)BEGINIF s=0 THEN y=a; ELSE y【New】【Block Diagram/Schematic File】 新建文本编译窗口,出现新建项目框,名为MUX.bdf在Blo

3、ck1.bdf窗口中任意处双击,弹出添加元件符号对话框图,这里选择如图所示元件。单击OK 。与门符号被附在鼠标指针上,在Block1.bdf窗口中适当位置点击一下,放置该符号。按ESC 键后,完成一次元件的放置,再选择与放置其它元件和引脚,双击引脚符号在弹出的对话窗口中可改变其名称 图 二选一多路选择器电路原理图C. 文件存盘、全程编译点击保存,文件名为muxk.bdf,再将muxk.bdf置为顶层,进行全程编译,直至编译成功。 图 编译d、建立全加器仿真文件点击新建按钮,选择othersVector Waveform File,出现仿真文件编辑界面如图所示。选择【Edit】【End time

4、】出现设置仿真时间长度对话框如图所示,如可设为50us,单击OK结束设置选择Node Finder出现查找节点对话框如图所示。在Filter栏中选择Pins:all,在Look in栏中选择Fadder,点击List按钮。出现节点列表选择对话框如图所示。点击中间全选按钮 再点击OK ,所示节点被加到波形编辑窗口如图所示。编辑输入波形,用鼠标左键单击图所示窗口的时钟信号名CLK,使之变成蓝色条,再单击左列的时钟设置键,下部分CLK的时钟周期设置为50ns;Clock窗口中的Duty cycle,占空比默认为50,即50%占空比。然后再分别设置EN和RST的电平,RST为复位端,EN为使能端。最后

5、设置好的激励信号波形如图下所示。 选择择菜单AssignmentSettings,即弹出如图选择仿真参数设置窗口。 选择【Processing】【Start simulation】或用快捷按钮进行波形仿真。仿真成功后,生成仿真波形如图所示程序分析:这是一个双2选1多路选择器,a1、a2和a3分别为两个数字输入端的端口名,s0、s1为通道选择控制信号输入端的端口名,outy为输出端的端口名。实体mux21a是一个2选一选通电路,实体muxk是元件的例化,其作用是将两个mux21a组合成一个3选1多路选择器。三、仿真结果 二选一多路选择器仿真图双二选一多路选择器仿真图四、总结通过本次课程设计对二选一多路选择器的设计和实现,使我熟悉Quartuas的VHDL文本设计的全过程,并积累总结了一些经验,整个设计过程运用了VHDL语言进行描述、简单组合电路和多层次电路的设计。在二选一多路选择器仿真结果中出现延时,应该将a,b,s的周期增大些,且要保证单位为us,实验文件夹名字与程序中所用的实体名必须一致,负责导致程序运行时无法找到文件夹,无法正常工作。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1