AD0809的工作原理.docx

上传人:b****8 文档编号:9919308 上传时间:2023-02-07 格式:DOCX 页数:14 大小:164.72KB
下载 相关 举报
AD0809的工作原理.docx_第1页
第1页 / 共14页
AD0809的工作原理.docx_第2页
第2页 / 共14页
AD0809的工作原理.docx_第3页
第3页 / 共14页
AD0809的工作原理.docx_第4页
第4页 / 共14页
AD0809的工作原理.docx_第5页
第5页 / 共14页
点击查看更多>>
下载资源
资源描述

AD0809的工作原理.docx

《AD0809的工作原理.docx》由会员分享,可在线阅读,更多相关《AD0809的工作原理.docx(14页珍藏版)》请在冰豆网上搜索。

AD0809的工作原理.docx

AD0809的工作原理

AD0809得工作原理

1、AD0809得芯片说明:

ADC0809就是带有8位A/D转换器、8路多路开关以及微处理机兼容得控制逻辑得CMOS组件。

它就是逐次逼近式A/D转换器,可以与单片机直接接口。

(1)ADC0809得内部逻辑结构

由上图可知,ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器与一个三态输出锁存器组成。

多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。

三态输出锁器用于锁存A/D转换完得数字量,当OE端为高电平时,才可以从三态输出锁存器取走转换完得数据。

(2). 引脚结构 

IN0-IN7:

8条模拟量输入通道 

    ADC0809对输入模拟量要求:

信号单极性,电压范围就是0-5V,若信号太小,必须进行放大;输入得模拟量在转换过程中应该保持不变,如若模拟量变化太快,则需在输入前增加采样保持电路。

地址输入与控制线:

4条

    ALE为地址锁存允许输入线,高电平有效。

当ALE线为高电平时,地址锁存与译码器将A,B,C三条地址线得地址信号进行锁存,经译码后被选中得通道得模拟量进转换器进行转换。

A,B与C为地址输入线,用于选通IN0-IN7上得一路模拟量输入。

通道选择表如下表所示。

C

B

选择得通道

0

0

IN0

0

0

IN1

0

1

0

IN2

0

1

1

IN3

1

0

IN4

1

0

1

IN5

1

1

IN6

1

IN7

数字量输出及控制线:

11条 

    ST为转换启动信号。

当ST上跳沿时,所有内部寄存器清零;下跳沿时,开始进行A/D转换;在转换期间,ST应保持低电平。

EOC为转换结束信号。

当EOC为高电平时,表明转换结束;否则,表明正在进行A/D转换。

OE为输出允许信号,用于控制三条输出锁存器向单片机输出转换得到得数据。

OE=1,输出转换得到得数据;OE=0,输出数据线呈高阻状态。

D7-D0为数字量输出线。

CLK为时钟输入信号线。

因ADC0809得内部没有时钟电路,所需时钟信号必须由外界提供,通常使用频率为500KHZ, 

VREF(+),VREF(-)为参考电压输入。

2.ADC0809应用说明

(1).ADC0809内部带有输出锁存器,可以与AT89S51单片机直接相连。

(2).初始化时,使ST与OE信号全为低电平。

(3).送要转换得哪一通道得地址到A,B,C端口上。

(4).在ST端给出一个至少有100ns宽得正脉冲信号。

(5).就是否转换完毕,我们根据EOC信号来判断。

 

(6).当EOC变为高电平时,这时给OE为高电平,转换得数据就输出给单片机了。

3.实验任务

如下图所示,从ADC0809得通道IN3输入0-5V之间得模拟量,通过ADC0809转换成数字量在数码管上以十进制形成显示出来。

ADC0809得VREF接+5V电压。

4.电路原理图

 

5、程序设计:

(1). 进行A/D转换时,采用查询EOC得标志信号来检测A/D转换就是否完毕,若完毕则把数据通过P0端口读入,经过数据处理之后在数码管上显示。

(2).进行A/D转换之前,要启动转换得方法:

 

ABC=110选择第三通道

ST=0,ST=1,ST=0产生启动转换得正脉冲信号、

(3)、关于0809得计算:

ad0809就是根据逐位逼近得方法产生数据得。

 

参考电压为0-5V得话。

以0809八位255得转换精度每一位得电压值为(5-0)/255≈0、0196Vﻫ设输入电压为X则:

ﻫX-27*0、0196>=0则AD7=1否则AD7=0。

ﻫX-26*0、0196>=0则AD6=1否则AD6=0。

ﻫ  X-20*0、0196>=0则AD0=1否则AD0=0。

ﻫ(27指2得7次方。

26-------20同理) ﻫﻫ若参考电压为0-1V

(1-0)/255≈0、0039V精度自然高了。

可测量范围小了。

 

1)汇编源程序:

CHEQU30HﻫDPCNTEQU31H

DPBUF EQU33HﻫGDATAEQU 32HﻫSTBITP3、0ﻫOEBITP3、1

EOCBIT P3、2

ORG00H

LJMPSTARTﻫORG0BHﻫLJMPT0X

ORG 30HﻫSTART:

MOVCH,#0BCHﻫMOV DPCNT,#00HﻫMOVR1,#DPCNTﻫMOVR7,#5

MOVA,#10ﻫMOV R0,#DPBUFﻫLOP:

MOVR0,AﻫINCR0

DJNZR7,LOPﻫMOVR0,#00H

INCR0

MOVR0,#00H

INCR0

MOVR0,#00H

MOVTMOD,#01HﻫMOV TH0,#(65536-4000)/256

MOVTL0,#(65536-4000)MOD256ﻫSETBTR0ﻫSETB ET0

SETB EAﻫWT:

 CLRSTﻫSETB ST

CLRST

WAIT:

 JNB EOC,WAITﻫSETBOE

MOVGDATA,P0

CLROEﻫMOVA,GDATAﻫMOVB,#100

DIV AB

MOV33H,AﻫMOV A,BﻫMOVB,#10ﻫDIVABﻫMOV34H,A

MOV35H,BﻫSJMP WT

T0X:

NOPﻫMOVTH0,#(65536-4000)/256ﻫMOVTL0,#(65536-4000) MOD256ﻫMOV DPTR,#DPCDﻫMOVA,DPCNTﻫADD A,#DPBUF

MOV R0,AﻫMOV A,R0ﻫMOVCA,A+DPTR

MOVP1,A

MOVDPTR,#DPBTﻫMOV A,DPCNTﻫMOVCA,A+DPTR

MOV P2,AﻫINC DPCNTﻫMOV A,DPCNTﻫCJNEA,#8,NEXT

MOVDPCNT,#00H

NEXT:

RETIﻫDPCD:

 DB3FH,06H,5BH,4FH,66H

DB6DH,7DH,07H,7FH,6FH,00H

DPBT:

DB0FEH,0FDH,0FBH,0F7H

DB0EFH,0DFH,0BFH,07FHﻫEND

 

 

2)C语言源程序

#include

unsignedcharcodedispbitcode[]={0xfe,0xfd,0xfb,0xf7,ﻫ0xef,0xdf,0xbf,0x7f};ﻫunsignedcharcodedispcode[]={0x3f,0x06,0x5b,0x4f,0x66,

0x6d,0x7d,0x07,0x7f,0x6f,0x00};ﻫunsigned char dispbuf[8]={10,10,10,10,10,0,0,0};ﻫunsignedchar dispcount;

sbitST="P3"^0;ﻫsbitOE="P3"^1;

sbitEOC="P3"^2;

unsignedcharchannel="0xbc";//IN3ﻫunsignedchar getdata;

voidmain(void)

TMOD=0x01;ﻫTH0=(65536-4000)/256;

TL0=(65536-4000)%256;

TR0=1;

ET0=1;ﻫEA=1;

P3=channel;

while(1)

{

ST=0;ﻫST=1;

ST=0;ﻫwhile(EOC==0);

OE=1;ﻫgetdata=P0;ﻫOE=0;

dispbuf[2]=getdata/100;ﻫgetdata=getdata%10;ﻫdispbuf[1]=getdata/10;ﻫdispbuf[0]=getdata%10;ﻫ}

}

void t0(void)interrupt1using 0

{

TH0=(65536-4000)/256;ﻫTL0=(65536-4000)%256;

P1=dispcode[dispbuf[dispcount]];ﻫP2=dispbitcode[dispcount];

dispcount++;

if(dispcount==8)ﻫ{ﻫdispcount=0;

}

}

 

3)FPGA实现得程序:

(verilog)

moduleAD0809(clk, //脉宽(至少100ns)

ﻩ rst_n,

ﻩEOC,//约100us后EOC变为高电平转换结束

ﻩSTART,//启动信号,上升沿有效(至少100ns)

ﻩﻩOE,//高电平打开三态缓冲器输出转换数据

ﻩALE, //高电平有效,选择信道口

ﻩADDA,//因为ADDB,ADDC都接地了,这里只有ADDA为变量

ﻩﻩDATA,////转换数据

ﻩﻩDATA_R);

 

outputﻩﻩSTART,OE,ALE,ADDA;

inputﻩﻩEOC,clk,rst_n;

input[7:

0]ﻩDATA;

output[7:

0]DATA_R;

 

regﻩSTART,OE,ALE,ADDA;

reg[7:

0]ﻩDATA_R;

 

reg[4:

0] CS,NS;

parameterIDLE=5''b00001,START_H=5''b00010,START_L=5''b00100,

ﻩﻩCHECK_END=5''b01000,GET_DATA=5''b10000;

 

always(*)

 

case(CS)

 

IDLE:

NS=START_H;

START_H:

ﻩNS=START_L;

START_L:

NS=CHECK_END;

CHECK_END:

if(EOC)

ﻩNS=GET_DATA;

else

NS=CHECK_END;

GET_DATA:

NS=IDLE;ﻩ

default:

NS=IDLE;

endcaseﻩ

 

 

always(posedgeclk)

 

if(!

rst_n)

ﻩCS<=IDLE;

elseﻩ

ﻩCS<=NS;

alwaysﻩ(posedgeclk)

 

case(NS)

IDLE:

begin

ﻩOE<=0;

ﻩSTART<=0;

ﻩALE<=0;ADDA<=1;

ﻩend

START_H:

ﻩbegin

OE<=0;

START<=1;  //产生启动信号

ﻩALE<=1;ADDA<=1;//选择信道口IN0

ﻩend

START_L:

ﻩbegin

ﻩOE<=0;

START<=0;

ﻩALE<=1;//启动信号脉宽要足够长,在启动得时候ALE要一直有效

ﻩend

CHECK_END:

begin

ﻩOE<=0;

ﻩSTART<=0;

ﻩALE<=0;

end

GET_DATA:

ﻩbegin

OE<=1;//高电平打开三态缓冲器输出转换数据

DATA_R<=DATA;//提取转换数据

ﻩSTART<=0;

ALE<=0;

ﻩendﻩ

default:

ﻩbegin

ﻩOE<=0;

ﻩSTART<=0;

ﻩALE<=0;ADDA<=0;

end

endcase

endmodule

 

 

4)FPGA实现得程序:

(VHDL)

LIBRARYIEEE;

USEIEEE、STD_LOGIC_1164、ALL;ﻫUSE IEEE、STD_LOGIC_UNSIGNED、ALL;

ENTITYAD0809ISﻫ  PORT( D:

INSTD_LOGIC_VECTOR(7DOWNTO 0);   CLK,EOC:

INSTD_LOGIC; 

  CLOCK:

IN STD_LOGIC; 

  ALE,START,OE,LOCK0:

OUTSTD_LOGIC;

  DOUT:

OUT STD_LOGIC_VECTOR(6 DOWNTO 0); 

  SEL:

OUTSTD_LOGIC_VECTOR(2DOWNTO0));ﻫEND AD0809;

ARCHITECTUREbehavOFAD0809ISﻫTYPE statesIS(st0,st1,st2,st3,st4);

SIGNAL current_state,next_state:

states:

=st0;

SIGNALREGL:

STD_LOGIC_VECTOR(7DOWNTO0);

SIGNALLOCK :

STD_LOGIC;

SIGNALCNT1:

STD_LOGIC_VECTOR(0DOWNTO0);

SIGNALA:

INTEGERRANGE0TO1;

SIGNAL LOWDATA:

STD_LOGIC_VECTOR(3DOWNTO 0);

SIGNALHIGHDATA:

STD_LOGIC_VECTOR(3DOWNTO 0);

SIGNALLOWLED7S:

STD_LOGIC_VECTOR(6DOWNTO0);ﻫSIGNALHIGHLED7S:

STD_LOGIC_VECTOR(6 DOWNTO0);ﻫBEGINﻫLOCK0<=LOCK;  

ﻫPROCESS(REGL)

  BEGIN  

  LOWDATA<=REGL(3DOWNTO0);

  HIGHDATA<=REGL(7DOWNTO 4);

  CASELOWDATAISﻫ  WHEN"0000"=>LOWLED7S<="0111111";ﻫ  WHEN "0001"=>LOWLED7S<="0000110";

  WHEN"0010"=>LOWLED7S<="1011011";

  WHEN"0011"=>LOWLED7S<="1001111";ﻫ  WHEN"0100"=>LOWLED7S<="1100110";

  WHEN "0101"=>LOWLED7S<="1101101";ﻫ  WHEN"0110"=>LOWLED7S<="1111101";

  WHEN "0111"=>LOWLED7S<="0000111";

  WHEN"1000"=>LOWLED7S<="1111111";ﻫ  WHEN"1001"=> LOWLED7S<="1101111";

  WHEN"1010" => LOWLED7S<="1110111";

  WHEN "1011"=>LOWLED7S<="1111100";

  WHEN"1100"=>LOWLED7S<="0111001";ﻫ  WHEN"1101"=>LOWLED7S<="1011110";

  WHEN "1110"=>LOWLED7S<="1111001";ﻫ  WHEN"1111"=>LOWLED7S<="1110001";

  WHENOTHERS=>Null;

  END CASE;  

CASEHIGHDATAISﻫ  WHEN"0000"=>HIGHLED7S<="0111111";ﻫ  WHEN"0001"=> HIGHLED7S<="0000110";

  WHEN"0010"=>HIGHLED7S<="1011011";ﻫ  WHEN "0011"=> HIGHLED7S<="1001111";ﻫ  WHEN"0100"=>HIGHLED7S<="1100110";

  WHEN"0101"=>HIGHLED7S<="1101101";

  WHEN"0110"=>HIGHLED7S<="1111101";ﻫ  WHEN "0111"=> HIGHLED7S<="0000111";

  WHEN"1000"=> HIGHLED7S<="1111111";

  WHEN "1001"=>HIGHLED7S<="1101111";ﻫ  WHEN"1010"=>HIGHLED7S<="1110111";

  WHEN"1011"=>HIGHLED7S<="1111100";ﻫ  WHEN"1100" => HIGHLED7S<="0111001";

  WHEN"1101"=> HIGHLED7S<="1011110";ﻫ  WHEN"1110"=> HIGHLED7S<="1111001";

  WHEN "1111"=>HIGHLED7S<="1110001";

  WHENOTHERS=>Null;  

  END CASE;

END PROCESS;

PROCESS(CLOCK)ﻫ  BEGINﻫ  IFCLOCK'EVENTANDCLOCK='1'THENCNT1<=CNT1+1;

  ENDIF;ﻫEND PROCESS;

PROCESS(CNT1)

  BEGINﻫ  CASE CNT1 IS

  WHEN"0" =>SEL<="111";A<=0;

  WHEN "1" =>SEL<="110";  A<=1;ﻫ  WHENOTHERS =>NULL;ﻫ  ENDCASE;ﻫENDPROCESS;

 

PROCESS(A)

  BEGINﻫ  CASEAIS

  WHEN0=>DOUT<=LOWLED7S;ﻫ  WHEN1=>DOUT<=HIGHLED7S;

  WHENOTHERS=>NULL;ﻫ  ENDCASE;

ENDPROCESS;ﻫﻫ:

  PROCESS(current_state,EOC) ﻫ      BEGIN

  CASE current_state IS

  WHENst0=>ALE<='0';START<='0';LOCK<='1';OE<='0';next_state<=st1; ﻫ  WHENst1=>ALE<='1';START<='0';LOCK<='1';OE<='0';next_state<=st2; ﻫ  WHEN st2=>ALE<='0';START<='1';LOCK<='0';OE<='0';ﻫ    IF(EOC='1')THENnext_state<=st3; 

    ELSEnext_state<=st2;   

    ENDIF;

  WHENst3=>ALE<='0';START<='0';LOCK<='0';OE<='1';next_state<=st4; 

  WHENst4=>ALE<='0';START<='0';LOCK<='1';OE<='1';next_state<=st0; ﻫ  WHENOTHERS=>next_state<=st0;ﻫ  ENDCASE;ﻫENDPROCESS;

REG:

 PROCESS(CLK)

  BEGIN

  IF(CLK'EVENT AND CLK='1') THENcurrent_state<=next_state;

  END IF;

ENDPROCESS REG;

LATCH1:

PROCESS(LOCK) 

  BEGIN

  IFLOCK='1'ANDLOCK'EVENTTHEN REGL<=D;ﻫ  ENDIF;

ENDPROCESSLATCH1;

ENDbehav;

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 求职职场 > 简历

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1