11214038 陈灵之 数字频率计.docx

上传人:b****8 文档编号:9892343 上传时间:2023-02-07 格式:DOCX 页数:11 大小:1.91MB
下载 相关 举报
11214038 陈灵之 数字频率计.docx_第1页
第1页 / 共11页
11214038 陈灵之 数字频率计.docx_第2页
第2页 / 共11页
11214038 陈灵之 数字频率计.docx_第3页
第3页 / 共11页
11214038 陈灵之 数字频率计.docx_第4页
第4页 / 共11页
11214038 陈灵之 数字频率计.docx_第5页
第5页 / 共11页
点击查看更多>>
下载资源
资源描述

11214038 陈灵之 数字频率计.docx

《11214038 陈灵之 数字频率计.docx》由会员分享,可在线阅读,更多相关《11214038 陈灵之 数字频率计.docx(11页珍藏版)》请在冰豆网上搜索。

11214038 陈灵之 数字频率计.docx

11214038陈灵之数字频率计

琼州学院本科生课程设计

 

《EDA技术与应用》课程设计

 

设计题目:

8位十进制数字频率计设计

专业:

电子信息科学与技术

班级:

2011级

(1)班

学生姓名:

陈灵之

学号:

11214038

指导教师:

孙志雄(副教授)

 

 

2014年6月

8位十进制数字频率计设计

陈灵之

(琼州学院电子信息工程学院海南三亚572002)

摘要:

数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。

它不仅可以测量信号的频率,而且还可以测量它们的周期。

数字频率计在测量物理量方面应用广泛。

本设计用VHDL在CPLD器件上实现数字频率计测频系统,能够用十进制数码显示被测信号的频率,整个系统非常精简,而且具有灵活的现场可更改性。

在不更改硬件电路的基础上,对系统进行各种改进还可以进一步提高系统的性能。

该数字频率计具有高速、精确、可靠、抗干扰性强和现场可编程等优点。

其中程序的编写用到了VHDL语言和VerilogHDL语言。

关键字:

VHDL语言、数字频率计、Max+plusII软件、EDA技术  

1设计任务

1.1课程设计目的与要求

本课程设计是设计一个数字频率计,是时序逻辑电路的综合运用。

通过本次设计,让学生掌握利用EDA技术(本论文仅涉及VHDL语言编程及硬件实现)以及数字电路技术等基础知识。

通过理论与实践相结合,培养学生的设计能力。

本设计准备实现的功能要求

基本要求:

(1)设计一个2位数字频率计,测量被测信号的频率,在数码管上显示出来。

拓展要求:

测量范围扩大10万陪。

显示8位。

 

2课题内容分析

频率计的实现一般采用的方法是在1s的标准内对被测信号脉冲进行计数,计数结果即为所测频率。

从原理上可将上述过程分为三个模块。

第一模块为测频控制电路负责产生频控制时序;第二模块计数电路负责计数;第三模块显示电路负责锁存计数结果并负责将计数如果用静态或动态的方式在数码管上显示出来。

以下如图2.1所示是我们设计的总电路设计概念图:

 

显示控制

计数控制

计数输出

 

图2.1总电路设计概念图

3三大功能模块的设计

3.1测频时序控制电路模块

测频时序控制模块如图3.1所示。

其顶层文件如图3.2所示。

clk为8hz基准时钟,en为计数器提供1s的标准宽,lock为锁存计数器的控制信号。

clr为计数器清零信号。

8hz的基准时钟clk经过7493计数输出4位二进制数,QD为0.5hz,刚好产生了1s的标准正负脉宽信号en,在1s的正脉宽时允许计数,在1s的负脉宽禁止计数。

在允许计数期间进行计数。

在禁止计数期间,进行计数结果的锁存,显示以及在下一个1s正脉冲到来之前计数器清零,准备新的计数测频等工作。

这样就完成了自动测频的工作。

仿真图如图3.3所示。

 

图3.1测频时序控制模块图

 

 

 

图3.2测频时序控制顶层模块图

 

图3.3测频时序控制模块时序仿真图

3.2计数器模块

将en和clk相与便可实现允许计数与禁止计数的控制。

计数器功能模块如图3.4所示,其顶层图如图3.5所示。

en为计数有效信号即为1s的标准脉宽,clk为等测输入频率。

clr为计数器清零信号。

Q[0..7]为2位BCD输出,cout为2位计数器进位信号。

 

图3.4计数器模块图

 

图3.5计数器模块顶层图

3.3显示电路模块

显示电路的设计可以参考BCD-7段码译码器的设计。

由于74248译码结果含有频率计中不应出现的0~9以外的字符,因此输入到显示模块的数据应为十进制的BCD码,这在计数器模块中完成。

本模块的设计图如图3.6所示。

其顶层文件实体如图3.7所示。

8通道D触发器74374由LOCK控制锁存器结果,输入计数结果为两个4位的BCD码,经过74248译为7段码送出,分为高位和低位共两们。

在两路8位数据选择器选择后输出数码管位选信号。

 

图3.6显示电路模块图

 

图3.7显示电路顶层模块图

3.4总体实现总体实现

总体实现总体实现原理图如图3.8所示。

8hz是基准时钟信号,通过ctrol模块产生1hz的en计数有效信号及计数锁存信号LOCK,计数清零信号CLR。

32768HZ是数码管显示扫描信号,通过3位二进制加法器,可以生成位选信号和数据选择信号,实现多位数码显示。

fry是待测频率,cout每满100M时进位显示,可通过发光二极管显示。

由于是自动测频,每隔1s测频一次,故进位显示是闪烁发光,当测量两位数以上的频率值时要认真观察。

在2位频率范围内,输入不同的待测频率可以马上在数码管显示出测量值。

由些扩展成多位频率计。

 

图3.88位频率计总体实现原理图

对电路图编译,编译正确后分配引脚,引脚分配可从总电路图中看出,也可从下图3.9图中看出。

 

图3.9频率计和引脚分配

分配引脚完成后开始下载至实验箱上,实际下载到实验箱后的显示图如下图所示。

第一次测量2hz信号,如图3.10所示。

第二次测量256hz信号,如图3.11所示。

第一次测量4Mhz信号,如图3.12所示。

 

图3.10实测2hz信号图

 

图3.11实测256hz信号图

 

图3.12实测4Mhz信号图

4设计心得体会

完成这次的课程设计让我收获很大,从中学到了EDA的许多知识,EDA的运用明显要比数电的课程设计应用好用的多,因为很多功能的实现可根据编程来实现,根据编程来生成元器件并应用它。

可编程逻辑器件的出现让我们能够更加灵活的运用所学的很多知识。

此次的课程设计过程中也遇到了很多瓶颈,但是经过论坛搜集资料,对自己的作品进行改进和完善,最终得以实现。

参考文献:

[1]江国强.EDA技术与应用[M].北京:

电子工业出版社,2010.

[2]潘松,黄继业.EDA技术实用教程.北京:

科学出版社,2002.

[3]潘松,黄继业.EDA技术与VHDL.北京:

清华大学出版社,2007

[4]张昌凡.可编程逻辑器件及VHDL设计技术.广州:

华南工学院出版社,2001

[5]孙志雄.EDA技术与应用.海南.机械工业出版社,2013

 

《EDA技术与应用》课程设计评分表

设计题目:

数字电子钟设计

学生姓名:

孙贻斌指导教师签名:

年月日

项目

主要内容

满分

得分

设计报告

设计报告规范、完整、无原理性错误

40

电路设计

电路设计与参数计算

20

电路测试

测试结果与分析

20

电路安装

实际电路安装与调试

20

总分

100

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 求职职场 > 简历

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1