数字计数器设计数字逻辑课程设计.docx

上传人:b****4 文档编号:4895974 上传时间:2022-12-11 格式:DOCX 页数:12 大小:275.37KB
下载 相关 举报
数字计数器设计数字逻辑课程设计.docx_第1页
第1页 / 共12页
数字计数器设计数字逻辑课程设计.docx_第2页
第2页 / 共12页
数字计数器设计数字逻辑课程设计.docx_第3页
第3页 / 共12页
数字计数器设计数字逻辑课程设计.docx_第4页
第4页 / 共12页
数字计数器设计数字逻辑课程设计.docx_第5页
第5页 / 共12页
点击查看更多>>
下载资源
资源描述

数字计数器设计数字逻辑课程设计.docx

《数字计数器设计数字逻辑课程设计.docx》由会员分享,可在线阅读,更多相关《数字计数器设计数字逻辑课程设计.docx(12页珍藏版)》请在冰豆网上搜索。

数字计数器设计数字逻辑课程设计.docx

数字计数器设计数字逻辑课程设计

第一章系统概述

1.1实验的目的与要求

1.1.1实验目的

1.了解数字计时器的组成及工作原理;

2.熟悉中规模集成电路的应用;

3.掌握数字计时器的设计和实验;

4.了解简单数字系统实验,调试及故障排除的方法。

1.1.2实验要求

1.根据设计任务要求,综合运用数字电子技术课程中所学到的理论知识与实践技能独立完成设计课题。

2.根据课题参考书籍,通过独立思考,深入研究课程设计中遇到的问题,培养自己分析、解决问题的能力。

3.进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。

4.学会电子电路的连线安装和调试技能。

1.2实验设计任务

1.2.1设计任务内容

要求设计一个数字计时器,可以完成0分00秒-9分59秒的计时功能且及时准确,并在控制电路的作用下具有开机清零、快速校分、整点报时的功能。

 

第二章数字计时器的设计过程

2.1总体电路的草图与元件的选择

2.1.1总体方案的设计草图

图2.1

2.1.2单元电路的选择

数字计时器主要由译码显示器、分计数器、秒计数器、秒脉冲发生电路、校分电路以及整点报时电路组成。

“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,作为“分计数器”的时钟脉冲。

“分计数器”采用十进制计数器,电路显示最大到9分59秒,通过LED七段显示器显示出来。

1.秒的个位与分的个位用十进制加法计数器7490来实现。

(如图2.2)

CKA接外脉冲信号,CKB接输出端QA,实现十进制加法计数;7490有异步清零功能(R01,R02控制)和置数功能(R91,R92控制)

2.秒的十位用六进制/十二进制计数器7492来实现。

(如图2.3)

CLKA’接外脉冲,CLKB’接进位信号,实现六进制加法计数;R01、R02同为1时,实现异步清零。

图2.2

图2.3

3.秒脉冲发生电路元件:

用555定时器构成的多谐振荡器产生秒脉冲,f=1HZ。

(如图2.4)

图2.4

频率f=1.43/(R1+2R2)C,通过调节电阻、电容的值就可以得到不同频率的脉冲信号。

4.校分电路元件:

二输入与非门、电阻以及开关

5.整点报时电路元件:

二输入与门与扬声器

 

2.2单元电路的连接方法

2.2.1计数器

1.秒个位的实现电路(如图2.5)

CKA外接秒脉冲信号,QD向秒十位输出进位信号

图2.5

2.秒十位的实现电路(如图2.6)CKA外接秒脉冲信号,CKB接秒个位的输出QD

图2.6

3.整个计数电路的实现(如图2.7)

图2.7

“分计数器”的CKB接自身的输出QA,CKA接秒十位的输出QD产生的进位信号,整个电路就可实现0分00秒——9分59秒的计时。

2.2.2秒脉冲发生器

利用555定时器构成的多谐振荡器(如图2.8)产生秒脉冲,即f=1HZ。

2.2.3校分电路

当刚接通电源或计时出现误差时,都需要对时间进行校正。

(如图2.9)

2.2.4整点报时电路

每计时十分钟,报时一次(如图2.10)可接扬声器发声报时,我用灯的熄灭显示。

图2.10

图2.8

图2.9

第三章关键电路的实现与调试

3.1电路的布线连接

3.1.1各个模块电路图

1.计数器(如图3.1)

图3.1

1)从左到右,分别是分个位、秒十位、秒个位,输出分别接到LED七段显示器,显示当前的计数状态。

秒十位的CLKA’和秒个位的CKA都接外部的脉冲信号,秒个位的CKB接自身的输出QA构成十进制计数器;秒十位的CLKB’接低位的进位信号,即秒个位的输出QD,从而实现六十进制的输出;分个位的CKA接低位的进位信号(秒十位的输出QD),CKB接自身的输出QA,实现十进制计数;各清零端(R01,R02)和置数端(R91,R92)都不接,正常情况下让计数器一直处于计数状态。

当外接上秒脉冲信号后整个电路从0分00秒开始计时,最大到9分59秒。

2)再在异步清零端(R01,R02)添加上开关,实现开机清零功能。

(如图3.2)

2.信号发生电路(如图3.3)

555定时器构成的多谐振荡器产生秒脉冲,其中的外接电阻R1,R2和电容C是定时元件,周期T=0.7(R1+2R2)C,调节电阻或电容的值就可在输出端得到不同宽度的矩形波脉冲信号。

取R1=10KOhn,R2=72MOhm,C=0.01uF,得到1S的脉冲输出到秒个位的CKA。

图3.2

图3.3

3.校分电路(如图3.4)

K是分校正开关,不校正时,K是闭合的。

当校正时,将K开关打开,然后拨动E开关,来回波动一次,分位就增加1,根据需要去拨动开关的次数,校正完毕后把开关K闭合上。

图3.4

4.报时电路(如图3.5)

图3.5

利用指示灯的熄灭表示计数到整点,每隔十分钟灯亮一次。

也可以利用扬声器来实现整点报时。

3.1.2总体电路图

将各模块电路合理连接起来构成总体电路(如图3.6)

图3.6

3.2电路的调试

3.2.1各模块电路的调试

1.计数器

连接好计数电路后,各输出接到LED七段显示器,在秒个位的CKA和十位的CLKA’接入一个秒脉冲(如图3.7),测试计数是否准确,特别是进位输出是否有误,能否正确显示六十进制计数。

若出现问题认真排查并解决。

图3.7

2.脉冲发生器

将上一步测试用的脉冲去掉,接入多谐振荡器的的输出端,接通电源,观察显示的计数状态是否和上一步测试的一样正确;若不相同,检查脉冲发生器是否连接正确,电阻、电容的值是否选择合适,直到计数显示准确。

3.校分

将校分基本电路的输出接入到“分计时器”的CP端CKA,接通电源,打开K开关,来回拨动E开关,观察显示器是否每来回拨动一次E就增加1,以实现分校正。

若拨动无效或是不正确,检查电路的设计是否合理,连线是否正确。

4.报时

设计的是分报时,即每十分钟报一次时。

将基本逻辑电路的四个输入端分别连到“分计时器”的相应输出端。

接通电源与脉冲,观察指示灯是否是十分钟后亮,及报时一次。

若不是,则认真检查电路设计与连线,直至问题解决。

3.2.2总体电路的调试

将调解好的各模块连接起来,构成数字计时器的整体电路图。

接通电源,计时器开始计时,分别测试计时器是否达到设计要求,具有0分00秒——9分59秒的计时功能且及时准确以及开机清零、快速校分、整点报时的功能。

1.按下键Space,看能否清零;

2.按下开关E,打开开关K,来回拨动E,看能否校分;

3.当计时器计时到9分59秒后指示灯时候闪亮,已达到10分钟报一次时。

若有功能不能正确实现,检查各模块合并的连线,排查出错误并解决。

 

结束语

从实验的初步设计到调试验证,我遇到过不少问题,有时候很无助、气馁、烦躁,但是在老师、同学的帮助下,以及查阅资料,最后终于成功了。

因为以前对EWB一点都不了解,对里面的元件也不清楚,刚开始时完全没有头绪,为实现一个六十进制就花了两天的时间。

最初是用两片8421编码十进制加法计数器74160通过一个六进制与一个十进制相乘构成,但是计数一直不准确,在秒个位是9的时候就向高位进位了,所以总是会出现19秒后再是10秒的情况,相差一秒。

经过查阅发现了7490(4位十进制波动计数器)和7492(12分频计数器),连接好后终于准确实现了六十进制的计数。

再有就是对于报时功能我未能用扬声器来实现,可以说这是我这次实验的缺陷吧,主要是自己还是不会用EWB,使得扬声器可以发出声响,最终我只能用灯的亮与暗来表示报时这个功能了。

通过这次试验,我学会了好多以前不知道的、知道却只是一知半解的知识,更多的体会是理论和实践之间的差距是我无法想象的,以前的幼稚想法再做了这次的试验之后都被无情的击溃了,我终于明白为什么老师说那些定律、定理都是前人经过无数次的试验才得出来的,原来想当然真的会把人带进深渊之中的。

我也把自己的收获与同学一起分享了,希望我们都能吸取教训,为将来的事业多做铺垫。

 

参考文献

1.王永军李景华.《数字逻辑与数字系统》(第3版).北京:

电子工业出版社,2008

2.章继涛韦友春.《电工电子技术实验教程》.北京:

北京理工大学出版社,2007

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 求职职场 > 简历

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1