基于FPGA和MATLAB的QPSK调制解调硕士学位论文.docx

上传人:b****3 文档编号:3816503 上传时间:2022-11-25 格式:DOCX 页数:32 大小:1.20MB
下载 相关 举报
基于FPGA和MATLAB的QPSK调制解调硕士学位论文.docx_第1页
第1页 / 共32页
基于FPGA和MATLAB的QPSK调制解调硕士学位论文.docx_第2页
第2页 / 共32页
基于FPGA和MATLAB的QPSK调制解调硕士学位论文.docx_第3页
第3页 / 共32页
基于FPGA和MATLAB的QPSK调制解调硕士学位论文.docx_第4页
第4页 / 共32页
基于FPGA和MATLAB的QPSK调制解调硕士学位论文.docx_第5页
第5页 / 共32页
点击查看更多>>
下载资源
资源描述

基于FPGA和MATLAB的QPSK调制解调硕士学位论文.docx

《基于FPGA和MATLAB的QPSK调制解调硕士学位论文.docx》由会员分享,可在线阅读,更多相关《基于FPGA和MATLAB的QPSK调制解调硕士学位论文.docx(32页珍藏版)》请在冰豆网上搜索。

基于FPGA和MATLAB的QPSK调制解调硕士学位论文.docx

基于FPGA和MATLAB的QPSK调制解调硕士学位论文

 

硕士学位论文

 

基于FPGA和MATLAB的QPSK调制解调

毕业设计(论文)原创性声明和使用授权说明

原创性声明

本人郑重承诺:

所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。

尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。

对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。

作者签名:

     日 期:

     

指导教师签名:

     日  期:

     

使用授权说明

本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:

按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。

作者签名:

     日 期:

     

学位论文原创性声明

本人郑重声明:

所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。

除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。

对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。

本人完全意识到本声明的法律后果由本人承担。

作者签名:

日期:

年月日

学位论文版权使用授权书

本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。

本人授权    大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。

涉密论文按学校规定处理。

作者签名:

日期:

年月日

导师签名:

日期:

年月日

注意事项

1.设计(论文)的内容包括:

1)封面(按教务处制定的标准封面格式制作)

2)原创性声明

3)中文摘要(300字左右)、关键词

4)外文摘要、关键词

5)目次页(附件不统一编入)

6)论文主体部分:

引言(或绪论)、正文、结论

7)参考文献

8)致谢

9)附录(对论文支持必要时)

2.论文字数要求:

理工类设计(论文)正文字数不少于1万字(不包括图纸、程序清单等),文科类论文正文字数不少于1.2万字。

3.附件包括:

任务书、开题报告、外文译文、译文原文(复印件)。

4.文字、图表要求:

1)文字通顺,语言流畅,书写字迹工整,打印字体及大小符合要求,无错别字,不准请他人代写

2)工程设计类题目的图纸,要求部分用尺规绘制,部分用计算机绘制,所有图纸应符合国家技术标准规范。

图表整洁,布局合理,文字注释必须使用工程字书写,不准用徒手画

3)毕业论文须用A4单面打印,论文50页以上的双面打印

4)图表应绘制于无格子的页面上

5)软件工程类课题应有程序清单,并提供电子文档

5.装订顺序

1)设计(论文)

2)附件:

按照任务书、开题报告、外文译文、译文原文(复印件)次序装订

指导教师评阅书

指导教师评价:

一、撰写(设计)过程

1、学生在论文(设计)过程中的治学态度、工作精神

□优□良□中□及格□不及格

2、学生掌握专业知识、技能的扎实程度

□优□良□中□及格□不及格

3、学生综合运用所学知识和专业技能分析和解决问题的能力

□优□良□中□及格□不及格

4、研究方法的科学性;技术线路的可行性;设计方案的合理性

□优□良□中□及格□不及格

5、完成毕业论文(设计)期间的出勤情况

□优□良□中□及格□不及格

二、论文(设计)质量

1、论文(设计)的整体结构是否符合撰写规范?

□优□良□中□及格□不及格

2、是否完成指定的论文(设计)任务(包括装订及附件)?

□优□良□中□及格□不及格

三、论文(设计)水平

1、论文(设计)的理论意义或对解决实际问题的指导意义

□优□良□中□及格□不及格

2、论文的观念是否有新意?

设计是否有创意?

□优□良□中□及格□不及格

3、论文(设计说明书)所体现的整体水平

□优□良□中□及格□不及格

建议成绩:

□优□良□中□及格□不及格

(在所选等级前的□内画“√”)

指导教师:

(签名)单位:

(盖章)

年月日

评阅教师评阅书

评阅教师评价:

一、论文(设计)质量

1、论文(设计)的整体结构是否符合撰写规范?

□优□良□中□及格□不及格

2、是否完成指定的论文(设计)任务(包括装订及附件)?

□优□良□中□及格□不及格

二、论文(设计)水平

1、论文(设计)的理论意义或对解决实际问题的指导意义

□优□良□中□及格□不及格

2、论文的观念是否有新意?

设计是否有创意?

□优□良□中□及格□不及格

3、论文(设计说明书)所体现的整体水平

□优□良□中□及格□不及格

建议成绩:

□优□良□中□及格□不及格

(在所选等级前的□内画“√”)

评阅教师:

(签名)单位:

(盖章)

年月日

教研室(或答辩小组)及教学系意见

教研室(或答辩小组)评价:

一、答辩过程

1、毕业论文(设计)的基本要点和见解的叙述情况

□优□良□中□及格□不及格

2、对答辩问题的反应、理解、表达情况

□优□良□中□及格□不及格

3、学生答辩过程中的精神状态

□优□良□中□及格□不及格

二、论文(设计)质量

1、论文(设计)的整体结构是否符合撰写规范?

□优□良□中□及格□不及格

2、是否完成指定的论文(设计)任务(包括装订及附件)?

□优□良□中□及格□不及格

三、论文(设计)水平

1、论文(设计)的理论意义或对解决实际问题的指导意义

□优□良□中□及格□不及格

2、论文的观念是否有新意?

设计是否有创意?

□优□良□中□及格□不及格

3、论文(设计说明书)所体现的整体水平

□优□良□中□及格□不及格

评定成绩:

□优□良□中□及格□不及格

教研室主任(或答辩小组组长):

(签名)

年月日

教学系意见:

系主任:

(签名)

年月日

摘要

FPGA由于其具有逻辑单元丰富、集成度高以及可灵活配置等诸多优点,而被广泛应用于算法实现以及产品原型验证之中。

特别在通信领域,FPGA得到了越来越广的应用。

在未来无线通信系统中,软件无线电技术将充分发挥其优越性,必将得到人们越来越多的关注。

本课题将以基于FPGA的软件无线电硬件平台,结合软件无线电技术设计实现全数字QPSK调制解调系统。

本文首先介绍了QPSK调制解调系统的基本原理,系统主要包括QPSK调制,Costas载波同步环,Gardner位同步环,自适应AGC。

并对每个模块做了简单的介绍。

然后对每个模块进行理论研究与分析,并进行MATLAB仿真,得到其中一些设计参数。

由于整个系统,同步技术占有重要位置,为了突出其重要性,我们对载波同步和位同步进行了详细的理论分析。

最后提出了系统的FPGA设计方案。

整个系统以ISE10.1为软件开发平台,通过verilogHDL和xilinx公司的ip核进行设计与实现,并对每个模块进行了modelsim仿真,仿真包括功能仿真与时序仿真。

最后将设计完的解调模块下载到Spartan3AN1400的软件无线电开发平台上进行测试,发送信号则通过E4438C产生,测试不同噪声,频偏,时偏的情况下,系统的工作情况。

并采用chipscope进行实时观察,获得硬件测试结果。

关键词:

FPGA,软件无线电,QPSK,Costas,Gardner,自适应AGC,ISE

ABSTRACT

FPGA由于其具有逻辑单元丰富、集成度高以及可灵活配置等诸多优点,而被广泛应用于算法实现以及产品原型验证之中。

特别在通信领域,FPGA得到了越来越广的应用。

在未来无线通信系统中,软件无线电技术将充分发挥其优越性,必将得到人们越来越多的关注。

本课题将以基于FPGA的软件无线电硬件平台,结合软件无线电技术设计实现全数字QPSK调制解调系统。

本文首先介绍了QPSK调制解调系统的基本原理,系统主要包括QPSK调制,Costas载波同步环,Gardner位同步环,自适应AGC。

并对每个模块做了简单的介绍。

然后对每个模块进行理论研究与分析,并进行MATLAB仿真,得到其中一些设计参数。

由于整个系统,同步技术占有重要位置,为了突出其重要性,我们对载波同步和位同步进行了详细的理论分析。

最后提出了系统的FPGA设计方案。

整个系统以ISE10.1为软件开发平台,通过verilogHDL和xilinx公司的ip核进行设计与实现,并对每个模块进行了modelsim仿真,仿真包括功能仿真与时序仿真。

最后将设计完的解调模块下载到Spartan3AN1400的软件无线电开发平台上进行测试,发送信号则通过E4438C产生,测试不同噪声,频偏,时偏的情况下,系统的工作情况。

并采用chipscope进行实时观察,获得硬件测试结果。

.

Keywords:

 

第一章绪论

1.1课题研究背景与研究意义

1.1.1软件无线电的研究

随着通信技术的发展,基于模拟电路的通信系统已经无法满足日益高涨的通信需求。

基于数字电路的通信系统逐渐显示出其强大的功能。

软件无线电就是数字化的通信系统的典范。

软件无线电包含三层含义:

一是“全数字化”,将宽带A/D和D/A向射频端靠近,由将频谱由基带移到中频;将基带、中频甚至射频数字化;不仅接收机数字化,发射机也要数字化。

二是把硬件作为无线电通信的基本平台,而尽可能多的通信功能用软件来实现,通信体制由软件定义。

三是软件无线电不仅仅是一种实现方法,更代表了一种新型的体制和开放的、可扩展的、模块化的软硬件平台体系结构,实现多频段、多模式、多业务、多个性

软件无线电的最初研究是从美军的Speakeasy多频段多模式电台开始的,其思想很快被应用于民用移动通信,之后软件无线电的概念己远远超出了无线通信,而是适应于整个广义的通信领域。

软件无线电这一新概念一经提出,就得到了全世界无线电领域的广泛关注。

由于软件无线电所具有的灵活性、开放性等特点,使其不仅在军、民无线通信中获得了应用,而且将在其他领域例如电子战、雷达、信息化家电等领域得到推广。

目前软件无线电更多的是以一种概念和猜想的形式出现的,具体的定义和体系结构尚无定论,而软件无线电作为未来通信乃至未来无线电技术的发展方向,对其研究是极具实际意义的。

1.1.2全数字调制解调技术简介

调制技术是通信系统的关键技术,调制解调效果的关系着通信系统的性能,所以要根据不同的通信信道的特性选择合适的调制方式,并采取合理的算法实现

数字信号调制是把基带数字信号变换为频带数字信号的过程,数字信号的调制端备包括纠错编码和调制模块,其基本结构如下所示。

图1.1数字调制系统框图

系统首先将模拟信号转化为数字信号,通常这个部分通过AD芯片来实现,然后通过信道编码使数字信号适合在信道上传输。

数字通信解调端的构成如图1-2所示。

其中,其中载波同步和定时同步是解调器的2个核心单元,它们直接决定着解调器的误码性能。

图1-2数字解调系统框图

解调单元的载波同步和定时同步将完全在数字部分完成,而模数转换器的位置决定了接收机的数字化程度。

本课题我们也将重点研究载波同步和定时同步。

调制技术根据调制信号的不同可以分为模拟调制技术和数字调制技术两类。

模拟调制中调制信号是连续变化的模拟信号,通过调制信号控制载波的不同参数变化,可以分为模拟幅度调制(AM)、模拟频率调制(FM)和模拟相位调制(PM)。

在数字调制中调制信号为离散化的数字信号,根据载波参数的变化,可以分为幅度键控(ASK)、频移键控(FSK)和相移键控(PSK),另外还有正交幅度调制(QAM)以及正交频分复用(OFDM)等方式。

ASK信号的抗干扰能力较差,只是用于早期的数字电报系统,FSK在数字通信中得到了广泛的使用,在第二代移动通信GSM系统中用到了高斯最小频移键控(GMSK)方式,它是在FSK基础上改进的一种调制方式。

在第三代移动通信系统中,频谱效率较高的QPSK以及QAM都会得到更多的采用

在数字调制方式中,以基带数据信号控制载波的相位使它作不连续的有限取值的变化以实现传输信息的方法称为数字调相,又称为相移键控。

从理论上分析,相移键控调制方式中不同的相位差的载波越多,传输速率越高,并能够减小由于信道特性引起的码间串扰的影响,从而提高数字通信的有效性和频谱利用率。

如四相调制(QPSK)在发送一个码元周期内传输了两位码,信息传输速率是二相调制(BPSK)的两倍,而8PSK的信息传输速率是BPSK的三倍,但是相邻载波间的相位差越小,对接收短的要求就越高,将使误码率增加,传输的可靠性随之降低。

QPSK是目前应用非常广泛的调制解调技术,目前QPSK调制的实现主要是利用数字电路和专用芯片来完成,通常利用可编程数字电路对基带信号进行码元变换,成形滤波等处理后得到同相分量和正交分量,然后将两路信号分量经过数模转换获得模拟信号送入一个正交相乘器与中频载波调制得到中频QPSK调制信号。

本课题我们将研究QPSK的调制解调方式。

1.1.3基于FPGA的数字接收机系统的设计

过去的数字信号处理实现中,大多采用ASIC和DSP,但这类器件都有一定的缺陷。

ASIC处理速度快,但开发成本高,而且内部功能不可改变,这样系统的可重构性差;DSP可以通过更改软件来改变其功能,其重构性好,但它的处理速度慢,逐渐跟不上越来越高的信号处理速度的要求。

FPGA是近几年出现的新型可编程逻辑器件,它不仅具有很高的速度和可靠性,而且具有用户重复定义的逻辑功能,即具有可编程的特点

它的出现不仅使数字电路系统的设计非常灵活,而且大大缩短了系统研制周期,缩小了数字电路系统的体积和所用芯片的种类。

FPGA的出现就是超大规模数字集成电路技术和计算机辅助设计技术发展的结果。

近年来,FPGA工艺发展很快,FPGA的工作时钟频率也不断增高,使芯片的处理能力增强。

随着大规模可编程逻辑器件的发展,系统设计进入“片上可编程系统(SOPC)”的新纪元,越来越多的新型FPGA内嵌CPU或者DSP内核,支持软硬件协同设计。

基于这样的发展,FPGA己经成为实现软件无线电数字信号处理的一种非常有效的选择。

其内部结构可以实现高速的数据处理过程,而它灵活的可重构性能保证系统能够实现在线重构,使系统具有高度的灵活性,当设备需要增加新的无线接口时,不需要增加新的FPGA芯片,而只需将现有FPGA的内部逻辑重构就可以了,这样就降低了设备的成本,缩短了开发周期,正是因为它的这些优点,FPGA在软件无线电技术的研究和设备开发中正在发挥越来越重要的作用。

1.2论文主要内容与结构

本课题旨在研究一款基于FPGA的全数字QPSK调制解调系统。

FPGA芯片选用Xilinx公司Spartan3AN系列xc3s1400an。

在Matlab环境下进行算法仿真,然后实现基于FPGA的QPSK信号的全数字调制与解调系统,整个系统包括QPSK调制,自适应AGC,Costas同步环,Gardner同步环。

本文各章安排如下:

第一章主要介绍了软件无线电的基本概念,分析了软件无线电的研究现状以及研究的意义,分析了比较软件无线电硬件平台主要的实现方式。

第二章介绍了软件无线电的基础知识,包括带通采样与软件无线电中的主要信号处理算法。

另外还详细论述了软件无线电硬件平台的主要设计思想。

第三章则介绍了本课题设计的软件无线电硬件系统的设计目标以及总体设计方案。

详细阐述了各子模块硬件方案设计与实现。

此外本章还着重介绍了系统电路板设计中使用的电磁兼容技术。

第四章首先阐述了FPGA主要设计思想,然后重点介绍了本系统FPGA设计实现的各功能模块,包括PCI总线模块、DAC芯片配置模块、自动增益控制以及数字上下变频模块的设计。

第五章归纳总结了系统各子模块的调试思路、调试步骤以及测试结果。

第六章对本课题做了简要的总结,对本课题设计的软件无线电系统的不足做了归纳,并对进一步研制软件无线电平台的几个方向进行了展望。

第二章QPSK调制解调系统简介

2.1QPSK调制技术的基本原理

正交相移键控(QuadraturePhaseShiftKeying,QPSK)也称为四进制相移键控,是多相相移键控(MPSK)中常用的一种,它是利用载波四个不同的相位来表征数字信息的调制方式

QPSK信号可以表示为:

(2.1)

式中,

是载波的角频率,

是第k个码元的载波相位取值,

是一个发送码元的持续时间,它将取可能的四种相位之一,

是发送码元的波形函数。

是可以取区间

任何离散值的随机变量,可取的个数由调制方式的进制来决定。

在QPSK调制系统中,发送端可取的相位值为四个。

将上式2-1展开,得到:

(2.2)

,则有:

(2.3)

再令

则有:

(2.4)

从上面可以看到QPSK信号可以当作是两路BPSK信号经正交调制后相加得到,即若QPSK的比特传输速率是

,则其I路Q路都是比特速率为

的BPSK信号。

在相同传输速率的条件下,QPSK的频谱利用率是BPSK的一倍。

QPSK信号的产生方法分为调相法和相位选择法。

·调相法

其基本过程为:

输入的数字序列经过数据预处理单元完成信号的信道编码从而生成两路信号,通过成形滤波后输出成形序列,再将两路成形序列和sin和cos相乘完成调制,最后将混频后的信号通过DAC模块输出。

用调相法产生QPSK信号的组成方框图如图2.1所示,图中串并变换器将输入的二进制序列依次分成I、Q两路,通过两个平衡调制器分别对同相载波及正交载波进行二相调制,将两路输出叠加即可得到四相移相信号。

图2.1基于内插的位同步方法原理图

在此结构中,成型滤波的作用有两个,一个是平滑波形,提高频谱利用率;一个是消除码间干扰

一般我们采用的成型滤波为平方根升余弦低通滤波器。

具体的讨论我们将在后面的电路设计中详细讨论。

·相位选择法

用相位选择法产生QPSK信号的组成方框图如图2.2所示。

图2.2相位选择法组成框图

图中,四相载波发生器分别送出调相所需的四种不同相位的载波。

按照串/并变换器输出的双比特码元的不同,逻辑选相电路输出相应的载波。

例如,双比特码元为“10”时,输出相位为45°的载波;为“00”时,输出相位为135°的载波等。

2.2QPSK解调技术

2.2.1QPSK解调的基本原理

在QPSK解调中,常采用相干解调

解调的方法大致有相干解调和非相干解调,一般而言相干解调性能比非相干解调好,使用非相干解调主要是其解调电路简单

本文在QPSK解调中,将采用相干解调,相干解调原理如图2-3所示。

QPSK相干解调的核心问题在于对载波同步和位同步,其性能的好坏直接对通信质量产生影响。

在相干解调技术中,要求在接收端提供一个本地参考载波,这个参考载波与信号的载波是同频同相的。

载波同步,我们一般采用直接同步法从接收到的信号中提取。

本文中采用直接法提取载波和位同步信号,接下来介绍QPSK解调的基本原理。

图2.3QPSK系统解调框图

我们假设通过AD采样后的数字信号为:

(2.5)

则QPSK信号经I,Q两路分别与载波相乘并经过低通滤波后可表示为:

(2.6)

(2.7)

其中

是发送端NCO的初始相位。

当本地恢复的同相载波与调制载波达到同频同相时,有

,则I,Q两路信号分别为双比特原始基带信号成形滤波后的离散采样,对其进行位同步提取后即可分别判决出两路码元,再经过并串转换可解调出原始信号。

解调过程中涉及到数字下变频、载波同步、位同步等关键技术

数字下变频是随着数字信号处理技术的发展而出现的,目前大量使用在数字中频技术中,它的根本任务就是实现数字中频到基带信号的变换。

数字下变频的组成包括数字混频器、数字控制振荡器(NCO)和低通滤波器(LPF)三部分组成。

影响数字下变频器性能的主要因素有两个:

一是表示数字本振、输入信号以及混频乘法运算的样本数值的有限字长所引起的误差;二是数字本振相位分辨率不够而引起数字本振样本数值的近似取值。

载波同步的方法可以分为两种,一种是在发送有用信号的同时在适当的频率上同时发送导频信号,导频信号的功率较小。

另一种是直接从接收到得信号中提取在波频率,在工程中通常在用后面一种方法,工程中可以使用平方变换,同相正交锁相环或者用其他的软件算法实现。

考虑到相移键控信号和抑制载波的双边带信号等,在其信号中并不含载波分量,用普通的锁相环无法提取,要设计抑制载波跟踪环路,才能完成从中提取相干载波的功能,本系统设计解调器采用Costas锁相环方式来实现载波同步

位同步可分为自同步和外同步两种,自同步是直接从接收信号中提取位同步信息,而外同步时在发射端专门发送导频信号。

在数字通信中常用到得方法是自同步方法,它可以通过滤波法,延迟相干法锁相方法等实现,对于不归零的二进制随机序列,不能直接从中提取位同步信息,但是可以通过波形变换,变成归零信号,然后进行滤波,就可以提取出所需的同步信号。

延迟相干法类似于相干解调,不过延迟时间

要小于码长

接收信号与延迟信号相乘后,得到一组码宽度为

的举行归零码,这样就可以得到位同步信号的频率分量

这两个部分也是本文的重点,我们将在本文的后续章节研究载波同步和位同步的实现方法。

2.2.2QPSK星座图

QPSK信号可以用星座图来表示,它表明各个符号间的幅度相位关系,用双比特表示,即11,00,01,10。

四个双比特符号分别表示QPSK信号的四个相位,相邻两个相位之间是正交的,对于

,星座图如图2.4所示:

图2.4QPSK星座映射图

上图星座图中,11,01,00,10分别对应于己调信号相位初始载波相位通常设为0。

在它们的相位偏移关系中,我们称,01对11,00对01,相位偏移90°;或者00对11偏180°事实上,在通常情况下,我们对相位偏移的陈述中,都是以符号00作为基准相位来加以描述的,我们可以得到00对应0°,01对应-90°,10对应90°,11对应180°。

 

第三章QPSK调制端的设计与实现

3.1调制方式的选择

调制在无线信道和有线信道的数字通信系统中是必不可少的。

调制的方式很多,可以分为无记忆调制和有记忆调制。

无记忆调制,是不重叠的符号间隔发送的信号之间不存在相关性。

其调制方式包括脉冲幅度调制——PAM,数字PAM是以载波的幅度表示传输的信息,因此其也称幅移键控(ASK);相位调制,即将所要传输的信息加载到载波的相位上,以载波相位表示信息内容,其通常被称为相移键控(PSK);正交幅度调制(QAM),是以载波幅度和相位联合表示所要传输的信息;频率调制,就是以载波频率的变化来表示传输信息的不同,也称为频移键控(FSK)。

有记忆调制,连续符号间隔发送的信号之间有相关性。

这种相关性的引入通常是为了发送信号频谱的

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 工程科技 > 能源化工

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1