简易心电图仪设计课程设计.docx

上传人:b****5 文档编号:3339946 上传时间:2022-11-21 格式:DOCX 页数:10 大小:414.42KB
下载 相关 举报
简易心电图仪设计课程设计.docx_第1页
第1页 / 共10页
简易心电图仪设计课程设计.docx_第2页
第2页 / 共10页
简易心电图仪设计课程设计.docx_第3页
第3页 / 共10页
简易心电图仪设计课程设计.docx_第4页
第4页 / 共10页
简易心电图仪设计课程设计.docx_第5页
第5页 / 共10页
点击查看更多>>
下载资源
资源描述

简易心电图仪设计课程设计.docx

《简易心电图仪设计课程设计.docx》由会员分享,可在线阅读,更多相关《简易心电图仪设计课程设计.docx(10页珍藏版)》请在冰豆网上搜索。

简易心电图仪设计课程设计.docx

简易心电图仪设计课程设计

 

电子综合实践设计报告

中文题目简易心电图仪设计

 

学号

姓名

指导老师

完成时间

 

摘要

心电图是临床疾病诊断中常用的辅助手段。

心电数据采集系统是心电图检查仪的关键部件。

人体心电信号的主要频率围为0.05Hz~100Hz,幅度约为0~4mV,信号十分微弱。

由于心电信号常混杂有其它生物电信号,加之体外以50Hz工频干扰为主的电磁场的干扰,使得心电噪声背景较强,测量条件比较复杂。

为了不失真地检出有临床价值的干净心电信号,往往要求心电数据采集系统具有高稳定性、高输入阻抗、高共模抑制比、低噪声及强抗干扰能力等性能。

本设计利用集成仪表放大器AD620和滤波电路设计了一种符合上述要求的简易心电图仪。

关键词:

心电图干扰AD620滤波

Abstract

Electrocardiogramismonlyusedinclinicaldiseasediagnosisofauxiliarymeans.Ecgdataacquisitionsystemiselectrocardiogramcheckingofthekeyponents.Themainbodyecgsignalfrequencyrangeis0.05Hz~100Hz,amplitudeisapproximately0~4mV,signalisveryweak.Becauseelectrocardiosignalusuallymingledwithotherbiologicalsignals,coupledwiththeinvitroin50Hzpowerfrequencyinterferenceofelectromagneticinterference,mainlymakingecgnoisebackgroundstronger,measuringconditionsareplex.Inordernottodistortiontodetectedwithclinicalvalueofecgsignal,cleanoftenaskecgdataacquisitionsystemwithhighstability,highinputimpedance,highmonmoderejectionratio,lownoiseandstronganti-jammingability,suchasperformance.ThisdesignusingintegratedinstrumentationamplifierAD620andfiltercircuitdesignakindoftosatisfytheabove-mentionedrequirementsofsimpleecgapparatus.

Keywords:

electrocardiograminterferenceAD620filtering

 

1、设计要求

设计制作一个简易心电图仪,可以测量人体心电信号并在示波器上显示出来。

示意图如图1所示。

 

图1简易心电图仪示意图

导联电极说明:

RA-右臂;LA-左臂;LL-左腿;RL-右腿。

第一路心电信号,即标准I导联的电极接法:

RA接放大器反相输入端(-),LA接放大器同相输入端(+),RL作为参考电极,接心电放大器参考点。

第二路心电信号,即标准Ⅱ导联的电极接法:

RA接放大器反相输入端(-),LL接放大器同相输入端(+),RL作为参考电极,接心电放大器参考点。

RA、LA、LL和RL的皮肤接触电极分别通过1.5m长的屏蔽导联线与心电信号放大器连接。

基本要求及技术指标如下:

1)电压放大倍数1000,误差+5%;

2)—3dB低频截止频率0.05Hz,(可不测试,由电路设计予以保证);

3)—3dB高频截止频率100Hz,误差±10Hz;

4)频带响应波动在±3dB之;

5)共模抑制比>60dB(含1.5m长的屏蔽导联线,共模输入电压围为±7.5v);

6)差模输入电阻>5M(可不测试,由电路设计予以保证);

7)输出电压动态围大于±10V;

8)设计并制作心电放大器所用的直流稳压电源,直流稳压电源输出交流噪声<±3mV。

2、方案设计

2.1理论分析及芯片选用依据

人体心脏工作产生的生物电流在身体表面不同部位产生不同电势,并且随心跳的节律呈现规律性的升降变化,通过电极将变化着的电位差检测并记录下来就是心电图(ECG)。

心电信号是一种带宽为0.05Hz至100Hz(有时高达1kHz),幅度在10µV~5mv的微弱交流信号,并且混杂有人体生物电干扰以及各种外部电磁干扰。

如何从环境噪声中提取微弱的心电信号是设计的难点和要点。

低成本低功耗便携式简易心电图仪是本设计的最大考量。

它顺应了保健电子产品设计的发展趋势。

系统采用低噪声稳压电源供电,能采集标准导联方式I或II心电信号,通过放大、滤波得到模拟心电信号。

本系统主要需要以下几种功能的芯片:

仪用放大器、通用运算放大器,。

为此,在选择用于本系统的集成芯片(IC)时,低功耗、小尺寸、高精度、性能稳定的芯片就是选用的目标。

其中,AD620是低成本、高精度仪表放大器,仅需要一个外部电阻设置增益,增益围为1至10,000。

此外,AD620采用8引脚SOIC和DIP封装,尺寸小于分立电路设计,并且功耗更低(最大工作电流仅1.3mA)。

AD620具有高精度、低失调电压和低失调漂移特性,低噪声、低输入偏置电流和低功耗特性,使之非常适合心电图(ECG)和无创血压监测仪等医疗应用。

2.2设计方案论证

分析可知,简易心电图仪系统主要包括输入回路、前置放大模块、后级放大模块、滤波网络模块以及存储回放等模块。

设计重点在于前置放大模块,和滤波网络模块。

方案论证主要围绕这两大部分展开。

2.2.1输入回路噪声抑制设计

来自导联电极的心电信号混有主要包括人体肌电呼吸等生物噪声、电极接触噪声、工频50Hz信号及其谐波等干扰;以及其它电子设备机器噪声及外界高频电磁干扰等噪声,其中工频50Hz干扰信号较强,主要是共模噪声。

按照设计要求,皮肤接触电极到分别通过1.5m长的屏蔽导联线与前置放大器相连接。

由于信号线对屏蔽线的输入电容不完全对称,造成共模电压的不等量衰减,使得包括导联在的放大器共模抑制比降低,从而使系统抑制干扰的能力下降。

其中工频干扰引起的共模信号可能远大于心电信号,其影响尤为严重。

而由于工频干扰频谱与正常心电信号混杂,又不宜采用工频陷波器滤除。

为有效地消除输入电路不对称而引起的电压分配效应所产生的共模干扰,采用屏蔽驱动和右腿驱动电路。

从输入导联取出的共模电压送入屏蔽层(屏蔽层不接地);同时送到右腿放大器反向放大,经一个限流电阻接到右腿电极,即等效为以人体为相加点的电压并联负反馈电路。

抑制了共模干扰进入后续电路。

为更好的抑制工频干扰,可以在右腿驱动电路加入低通滤波电路。

满足将心电放大器(含屏蔽导联线)的共模抑制比提高到80dB的指标要求。

该电路采用OPA335实现。

2.2.2前置放大模块

前置放大模块在整机中处于非常重要的地位,其性能决定了整机的主要技术指标。

前置放大模块应满足高输入阻抗、高共模抑制比、低噪声、低漂移的要求。

采用仪表放大芯片AD620。

其部集成共模抑制比最小可达可100dB的仪用放大电路,只需外接一个电阻可调节增益,增益从1~10,000倍可调。

同时该芯片对电源的要求低,±2.3V的电源电压就可表现出良好的功能特性,静态电流最大只有1.3mA,功耗极低。

其差模输入阻抗高达10000M,远远大于设计需求,完全可保证总电路差模输入阻抗大于5M。

此外,AD620还具有低噪声、低输入偏置电流特性。

特别是芯片的各项指标在低频段表现优异,适用于120KHz以信号的放大。

考虑到电极接触噪声产生的直流极化电压(尤其使用普通铜皮作为接触电极时),电极的极化电压是个差模信号,可能会造成运放的饱和。

可以利用AD620的REF端进行输出偏移调零。

虽然提高放大器的第一级增益有利于降低输出噪声,但考虑到极化电势的影响,仪表放大电路增益不应太大。

2.2.3滤波网络模块

由于心电信号易受噪声干扰,且主要能量成分集中在0.05Hz~100Hz频带,所以本系统采用滤波的方法对心电信号作进一步的降噪处理,抑制外界干扰,从而得到较为平滑的心电图波形。

滤波电路的设计主要是满足心电信号特定的频率响应特性。

(1)高通滤波电路

心电信号的最低可能频率成分只达到0.5Hz(相应于心脏搏动30次/分),但为降低信号因相移产生线性失真,心电信号放大电路的低频截止频率必须达到心电信号的低频截止频率的1/10,即0.05Hz。

实际上,在前置放大模块采用了高通负反馈滤波器进行隔直和低通滤波,0.05Hz信号包括直流极化信号已基本被滤掉。

为进一步满足高通滤波特性,可以采用效果不错且易于实现RC一阶无源滤波。

(2)低通滤波电路

滤除混入的各种高频干扰噪声。

按照心电图信号的频谱围,高频截止频率选择100Hz和500Hz两种。

对滤波特性的要求主要是信号的时域失真要小,心电信号具有脉冲波形的特征,为保证不失真放大,滤波器应具有较好的线性相位特性。

方案一:

采用已有算法进行离散数字滤波。

其优点是参数调节性好,滤波特性比较精确。

但为达到较好的滤波效果要求滤波器取较高的阶数,对处理器的要求比较高,利用单片机现有资源难以实现。

方案二:

通常采用模拟有源滤波器实现。

模拟滤波器主要有巴特沃斯滤波器、切比雪夫滤波器和贝赛尔滤波器三种,其中贝赛尔滤波器具有线性相移特性,最适用于心电信号的滤波处理。

本设计主要考虑到满足并尽量完善设计指标,采用二阶贝塞尔滤波电路的方案。

3、系统实现

根据以上要求,系统总体设计框图如图2所示:

图2系统总体设计框图

3.1主要单元电路设计

3.1.1前置放大模块及右腿驱动电路

前置放大模块以AD620仪用放大电路为核心,外围由OPA335构成的反馈积分调零电路和右腿驱动电路三个部分组成。

AD620部原理图如图3所示。

AD620的主要特点是低漂移电压,低偏置电流,高共模抑制比。

图3AD620原理示意图

图4AD620引脚图

图4所示为AD620仪表放大器的脚位图。

其中1、8接脚要跨接一电阻来调整放大倍率(作用同式

(1)中的Rg),放大倍数G=49.4kΩ/Rg+1。

这里我们设计增益为40,则Rg取1.24kΩ。

4、7接脚需提供正负相等的工作电压,由2、3接脚输入的放大的电压即可从接脚6输出放大后的电压值。

接脚5则是参考基准,如果接地则接脚6的输出即为与地之间的相对电压。

图5AD620前置放大电路及仿真图

3.1.2主放大器电路

该部分电路主要起调节增益的作用,使输出信号可达到V的量级。

前面仪表放大电路的增益设计为40,主放大电路的增益为25,这样总增益为1,000。

图6主放大器电路图

图7心电信号放大器

3.1.3滤波电路

(1)高通滤波电路

要求心电放大器的低频截止频率为0.05Hz,没有要求进行测试,可用无源的RC网络来实现。

由公式f=1/2πRC(取C为470nF),得R=677.60kΩ。

实际选用选用6.8mΩ的电阻。

图8高通滤波电路图

(2)低通滤波电路

采用具有线性相移特性,二阶贝塞尔滤器。

 

图9100Hz滤波电路

图中低通滤波器的幅频特性曲线衰减3dB时,对应频率为93Hz;衰减10dB时对应频率为180Hz。

完全符合设计的要求。

 

图10500Hz滤波电路

图中低通滤波器的幅频特性曲线衰减3dB时,对应频率为497Hz;衰减10dB时对应频率为840Hz。

完全符合设计的要求。

3.2低噪声稳压电源的设计

由于用普通集成三端稳压电路直接构成稳压电源是难以达到题目“<3mV(峰-峰值)”噪声要求的。

需要在集成三端稳压电路外增加放大环节,才能进一步抑制噪声。

 

 

图11低噪声稳压电源原理图

3.3系统硬件接线原理图

总结

通过这次综合实践使我懂得了理论与实际相结合的重要性,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。

在完成这个简易心电图仪的过程中我们把注意力主要集中在滤波器的设计和调试上。

合理地运用软件设计滤波器可以节省很多功夫,但完全照搬也不能达到预想的效果,因为实际参数无法与设计精确匹配。

因此我们的做法是在关键的地方用可调器件为电路留下余地。

只要正确地调节就能达到指标要求。

这次电子课程综合实践提高了我的团队合作水平,使我们配合更加默契。

实验中暴露出我们在理论学习中所存在的问题,有些理论知识还处于懵懂状态,老师不厌其烦地为我们调整波形,讲解知识点,实在令我们感动。

参考文献

[1]华成英,童诗白.模拟电子技术基础:

高等教育,2006.5.

[2]王港元.电子电工实践指导科学技术,2005.

[3]自美.电子线路设计•实验•测试第三版华中科技大学.

[4]梅生.电子技术基础课程设计高等教育

附录

简易心电图仪总电路图

硬件实物图

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 小学教育 > 学科竞赛

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1