ImageVerifierCode 换一换
格式:DOCX , 页数:10 ,大小:414.42KB ,
资源ID:3339946      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/3339946.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(简易心电图仪设计课程设计.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

简易心电图仪设计课程设计.docx

1、简易心电图仪设计课程设计电子综合实践设计报告中文题目简易心电图仪设计学 号:姓 名:指导老师:完成时间:摘 要心电图是临床疾病诊断中常用的辅助手段。心电数据采集系统是心电图检查仪的关键部件。人体心电信号的主要频率围为0.05Hz100Hz,幅度约为04mV,信号十分微弱。由于心电信号常混杂有其它生物电信号,加之体外以50Hz工频干扰为主的电磁场的干扰,使得心电噪声背景较强,测量条件比较复杂。为了不失真地检出有临床价值的干净心电信号,往往要求心电数据采集系统具有高稳定性、高输入阻抗、高共模抑制比、低噪声及强抗干扰能力等性能。本设计利用集成仪表放大器AD620和滤波电路设计了一种符合上述要求的简易

2、心电图仪。关键词:心电图 干扰 AD620 滤波 AbstractElectrocardiogram is monly used in clinical disease diagnosis of auxiliary means. Ecg data acquisition system is electrocardiogram checking of the key ponents. The main body ecg signal frequency range is 0.05 Hz 100Hz, amplitude is approximately 0 4mV, signal is very

3、 weak. Because electrocardiosignal usually mingled with other biological signals, coupled with the in vitro in 50Hz power frequency interference of electromagnetic interference, mainly making ecg noise background stronger, measuring conditions are plex. In order not to distortion to detected with cl

4、inical value of ecg signal, clean often ask ecg data acquisition system with high stability, high input impedance, high mon mode rejection ratio, low noise and strong anti-jamming ability, such as performance. This design using integrated instrumentation amplifier AD620 and filter circuit design a k

5、ind of to satisfy the above-mentioned requirements of simple ecg apparatus.Keywords: electrocardiogram interference AD620 filtering 1、设计要求设计制作一个简易心电图仪,可以测量人体心电信号并在示波器上显示出来。示意图如图1所示。图1 简易心电图仪示意图导联电极说明:RA-右臂;LA-左臂;LL-左腿;RL-右腿。第一路心电信号,即标准I导联的电极接法:RA接放大器反相输入端(),LA接放大器同相输入端(),RL作为参考电极,接心电放大器参考点。第二路心电信号,即

6、标准导联的电极接法:RA接放大器反相输入端(),LL接放大器同相输入端(),RL作为参考电极,接心电放大器参考点。RA、LA、LL和RL的皮肤接触电极分别通过1.5m长的屏蔽导联线与心电信号放大器连接。 基本要求及技术指标如下:1)电压放大倍数1000,误差+5%;2)3dB低频截止频率0.05Hz,(可不测试,由电路设计予以保证);3)3dB高频截止频率100Hz,误差10Hz;4)频带响应波动在3dB之;5)共模抑制比60dB(含1.5m长的屏蔽导联线,共模输入电压围为7.5v);6)差模输入电阻5M(可不测试,由电路设计予以保证);7)输出电压动态围大于10V;8)设计并制作心电放大器所

7、用的直流稳压电源,直流稳压电源输出交流噪声3mV。2、方案设计2.1 理论分析及芯片选用依据人体心脏工作产生的生物电流在身体表面不同部位产生不同电势,并且随心 跳的节律呈现规律性的升降变化,通过电极将变化着的电位差检测并记录下来就 是心电图(ECG)。心电信号是一种带宽为 0.05Hz 至 100Hz(有时高达 1kHz), 幅度在 10V5mv 的微弱交流信号,并且混杂有人体生物电干扰以及各种外部电 磁干扰。如何从环境噪声中提取微弱的心电信号是设计的难点和要点。低成本低功耗便携式简易心电图仪是本设计的最大考量。它顺应了保健电子 产品设计的发展趋势。系统采用低噪声稳压电源供电,能采集标准导联方

8、式 I 或 II 心电 信号,通过放大、滤波得到模拟心电信号。本系统主要需要以下几种功能的芯片:仪用放大器、通用运算放大器,。为此,在选择用于本系统的集成芯片(IC)时, 低功耗、小尺寸、高精度、性能稳定的芯片就是选用的目标。其中,AD620是低成本、高精度仪表放大器,仅需要一个外部电阻设置增益,增益围为1至10,000。此外,AD620采用8引脚SOIC和DIP封装,尺寸小于分立电路设计,并且功耗更低(最大工作电流仅1.3mA)。AD620具有高精度、低失调电压和低失调漂移特性,低噪声、低输入偏置电流和低功耗特性,使之非常适合心电图(ECG)和无创血压监测仪等医疗应用。2.2设计方案论证分析

9、可知,简易心电图仪系统主要包括输入回路、前置放大模块、后级放大 模块、滤波网络模块以及存储回放等模块。设计重点在于前置放大模块,和滤波 网络模块。方案论证主要围绕这两大部分展开。2.2.1输入回路噪声抑制设计来自导联电极的心电信号混有主要包括人体肌电呼吸等生物噪声、电极接触 噪声、工频 50Hz 信号及其谐波等干扰;以及其它电子设备机器噪声及外界高频电 磁干扰等噪声,其中工频 50Hz 干扰信号较强,主要是共模噪声。按照设计要求,皮肤接触电极到分别通过 1.5m 长的屏蔽导联线与前置放大器 相连接。由于信号线对屏蔽线的输入电容不完全对称,造成共模电压的不等量衰 减,使得包括导联在的放大器共模抑

10、制比降低,从而使系统抑制干扰的能力下 降。其中工频干扰引起的共模信号可能远大于心电信号,其影响尤为严重。而由 于工频干扰频谱与正常心电信号混杂,又不宜采用工频陷波器滤除。为有效地消除输入电路不对称而引起的电压分配效应所产生的共模干扰,采 用屏蔽驱动和右腿驱动电路。从输入导联取出的共模电压送入屏蔽层(屏蔽层不 接地);同时送到右腿放大器反向放大,经一个限流电阻接到右腿电极,即等效 为以人体为相加点的电压并联负反馈电路。抑制了共模干扰进入后续电路。为更 好的抑制工频干扰,可以在右腿驱动电路加入低通滤波电路。满足将心电放大器(含屏蔽导联线)的共模抑制比提高到 80dB 的指标要求。该电路采用 OPA

11、335 实 现。2.2.2 前置放大模块前置放大模块在整机中处于非常重要的地位,其性能决定了整机的主要技术 指标。前置放大模块应满足高输入阻抗、高共模抑制比、低噪声、低漂移的要求。采用仪表放大芯片AD620。其部集成共模抑制比最小可达可 100dB 的仪用放大电路,只需外接一个电阻可调节增益,增益从 110,000 倍可调。同时该芯片对电源的要求低,2.3V 的电源电压就可表现出良好的功能特性,静态电流最大只有 1.3mA,功耗极低。其差模输入阻抗高达10000M,远远大于设计需求,完全可保证总电路差模输入阻抗大于5M。此外,AD620还具有低噪声、低输入偏置电流特性。特别是芯片的各项指标在低

12、频段表现优异,适用于 120KHz 以信号的放大。考虑到电极接触噪声产生的直流极化电压(尤其使用普通铜皮作为接触电极时),电极的极化电压是个差模信号,可能会造成运放的饱和。可以利用AD620 的 REF 端进行输出偏移调零。虽然提高放大器的第一级增益有利于降低输出噪声, 但考虑到极化电势的影响,仪表放大电路增益不应太大。2.2.3 滤波网络模块由于心电信号易受噪声干扰,且主要能量成分集中在 0.05Hz100Hz 频带, 所以本系统采用滤波的方法对心电信号作进一步的降噪处理,抑制外界干扰,从 而得到较为平滑的心电图波形。滤波电路的设计主要是满足心电信号特定的频率 响应特性。(1)高通滤波电路心

13、电信号的最低可能频率成分只达到 0.5Hz(相应于心脏搏动 30 次/分),但 为降低信号因相移产生线性失真,心电信号放大电路的低频截止频率必须达到心 电信号的低频截止频率的 1/10,即 0.05Hz。实际上,在前置放大模块采用了高通负反馈滤波器进行隔直和低通滤波,0.05Hz 信号包括直流极化信号已基本被滤掉。为进一步满足高通滤波特性,可以采用效果不错且易于实现 RC 一阶无源滤波。(2)低通滤波电路滤除混入的各种高频干扰噪声。按照心电图信号的频谱围,高频截止频率 选择 100Hz 和 500Hz 两种。对滤波特性的要求主要是信号的时域失真要小,心电 信号具有脉冲波形的特征,为保证不失真放

14、大,滤波器应具有较好的线性相位特 性。方案一:采用已有算法进行离散数字滤波。其优点是参数调节性好,滤波特 性比较精确。但为达到较好的滤波效果要求滤波器取较高的阶数,对处理器的要 求比较高,利用单片机现有资源难以实现。方案二:通常采用模拟有源滤波器实现。模拟滤波器主要有巴特沃斯滤波器、 切比雪夫滤波器和贝赛尔滤波器三种,其中贝赛尔滤波器具有线性相移特性,最 适用于心电信号的滤波处理。本设计主要考虑到满足并尽量完善设计指标,采用 二阶贝塞尔滤波电路的方案。3、系统实现根据以上要求,系统总体设计框图如图 2 所示:图2 系统总体设计框图3.1主要单元电路设计3.1.1前置放大模块及右腿驱动电路前置放

15、大模块以 AD620仪用放大电路为核心,外围由 OPA335 构成的 反馈积分调零电路和右腿驱动电路三个部分组成。AD620部原理图如图 3 所示。AD620 的主要特点是低漂移电压,低偏置电流,高共模抑制比。图3AD620原理示意图图4 AD620引脚图图4 所示为 AD620仪表放大器的脚位图。其中1、8 接脚要跨接一电阻来调整放大倍率(作用同式(1)中的Rg),放大倍数G=49.4k/Rg+1。这里我们设计增益为40,则Rg取1.24 k。4、7 接脚需提供正负相等的工作电压,由 2、3 接脚输入的放大的电压即可从接脚 6 输出放大后的电压值。接脚5则是参考基准,如果接地则接脚 6 的输

16、出即为与地之间的相对电压。图5 AD620前置放大电路及仿真图3.1.2 主放大器电路该部分电路主要起调节增益的作用,使输出信号可达到的量级。前面仪表放大电路的增益设计为40,主放大电路的增益为25,这样总增益为1,000。图 6 主放大器电路图图7 心电信号放大器3.1.3 滤波电路(1)高通滤波电路要求心电放大器的低频截止频率为 0.05Hz,没有要求进行测试,可用无源的 RC 网络来实现。由公式 f=1/2RC(取 C 为 470nF),得 R=677.60k。 实际选用 选用 6.8m的电阻。图 8 高通滤波电路图(2)低通滤波电路采用具有线性相移特性,二阶贝塞尔滤器。图 9 100H

17、z滤波电路图中低通滤波器的幅频特性曲线衰减3dB时,对应频率为93Hz;衰减10dB时对应频率为180Hz。完全符合设计的要求。图 10 500Hz滤波电路图中低通滤波器的幅频特性曲线衰减3dB时,对应频率为497Hz;衰减10dB时对应频率为840Hz。完全符合设计的要求。3.2低噪声稳压电源的设计由于用普通集成三端稳压电路直接构成稳压电源是难以达到题目“3mV(峰-峰值)”噪声要求的。需要在集成三端稳压电路外增加放大环节,才能进一步抑制噪声。图11 低噪声稳压电源原理图3.3系统硬件接线原理图总 结通过这次综合实践使我懂得了理论与实际相结合的重要性,只有理论知识是远远不够的,只有把所学的理

18、论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在完成这个简易心电图仪的过程中我们把注意力主要集中在滤波器的设计和调试上。合理地运用软件设计滤波器可以节省很多功夫,但完全照搬也不能达到预想的效果,因为实际参数无法与设计精确匹配。因此我们的做法是在关键的地方用可调器件为电路留下余地。只要正确地调节就能达到指标要求。这次电子课程综合实践提高了我的团队合作水平,使我们配合更加默契。实验中暴露出我们在理论学习中所存在的问题,有些理论知识还处于懵懂状态,老师不厌其烦地为我们调整波形,讲解知识点,实在令我们感动。参考文献1华成英,童诗白.模拟电子技术基础 :高等教育,2006.5.2王港元.电子电工实践指导 科学技术,2005.3自美.电子线路设计实验测试 第三版 华中科技大学.4梅生.电子技术基础课程设计 高等教育附 录简易心电图仪总电路图硬件实物图

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1