EDA乐曲硬件演奏电路设计.docx

上传人:b****4 文档编号:3207130 上传时间:2022-11-20 格式:DOCX 页数:15 大小:585.01KB
下载 相关 举报
EDA乐曲硬件演奏电路设计.docx_第1页
第1页 / 共15页
EDA乐曲硬件演奏电路设计.docx_第2页
第2页 / 共15页
EDA乐曲硬件演奏电路设计.docx_第3页
第3页 / 共15页
EDA乐曲硬件演奏电路设计.docx_第4页
第4页 / 共15页
EDA乐曲硬件演奏电路设计.docx_第5页
第5页 / 共15页
点击查看更多>>
下载资源
资源描述

EDA乐曲硬件演奏电路设计.docx

《EDA乐曲硬件演奏电路设计.docx》由会员分享,可在线阅读,更多相关《EDA乐曲硬件演奏电路设计.docx(15页珍藏版)》请在冰豆网上搜索。

EDA乐曲硬件演奏电路设计.docx

EDA乐曲硬件演奏电路设计

 

EDA乐曲硬件演奏电路设计(总22页)

《电子系统设计》课程课程设计报告

 

题目:

乐曲硬件演奏电路设计

 

姓名:

吴清清

院系:

电力学院

专  业:

电子科学与技术

学号:

9

指导教师:

 谭联

 

完成时间:

2016年12月21日

 

1课程设计题目、内容与要求

设计内容

设计一个乐曲演奏电路,能够自动播放编写好的音乐。

要求将音乐通过实验箱上的喇叭播放出来,用发光二级管显示出乐曲的节拍。

(1)完成程序的编程工作。

(2)将音乐数据制成LMP_ROM文件。

(3)将程序在Quartusii软件中进行编译和仿真。

(4)进行引脚锁定,然后下载到实验箱上观察实验结果。

具体要求

(1)定制音符数据ROMMUSIC,检测音符数据已经进入ROM中;

(2)所有模块,分别仿真测试;

(3)完成系统仿真调试和硬件验证;

(4)能够将两首音乐随时切换。

2系统设计

设计思路

乐曲中的每一音符对应着一个确定的频率,要想FPGA发出不同音符的音调,实际上只要控制它输出相应音符的频率即可。

乐曲都是由一连串的音符组成,因此按照乐曲的乐谱依次输出这些音符所对应的频,就可以在扬声器上连续地发出各个音符的音调。

而要准确地演奏出一首乐曲,仅仅让扬声器能够发生是不够的,还必须准确地控制乐曲的节奏,即乐曲中每个音符的发生频率及其持续时间是乐曲能够连续演奏的两个关键因素。

本次设计主要包括三个部分:

(1)音符频率的获得;

音符频率的获得多个不同频率的信号可通过对某个基准频率进行分频器获得。

由于各个音符的频率多为非整数,而分频系数又不能为小数,故必须将计算机得到的分频系数四舍五入取整。

若基准频率过低,则分频系数过小,四舍五入取整后的误差较大。

若基准频率过高,虽然可以减少频率的相对误差,但分频结构将变大。

实际上应该综合考虑这两个方面的因素,在尽量减少误差的前提下,选取合适的基准频率。

本设计中选取1MHz的基准频率,数控分频器采用11位二进制计数器。

(3)乐曲节奏的控制

一般乐曲最小的节拍为1/4拍,若将1拍的时间定为1秒,则只需要输出4Hz的1/4拍的时长(秒),对于其它占用时间较长的节拍(必为1/4拍的整数倍)则只需要将该音符连续输出相应的次数即可。

计数时钟信号作为输出音符快慢的控制信号,时钟快时输出节拍速度就快,演奏的速度也就快,时钟慢时输出节拍的速度就慢,演奏的速度自然降低,因此计数器的时钟信号应为4Hz。

(3)乐谱的发生

本设计将乐谱中的音符数据存储在LPM-ROM中,若某音在逻辑中停留了4个时钟节拍,即1秒的时间,相应地,该音符就要在LPM-ROM中连续的四个地址上都存储。

当一个4Hz的时钟来时,相应地就从LPM-ROM中输出一个音符数据。

系统原理

总体框图

时钟

 

该工程由是由上图所示的几个模块构成

①输入的时钟20MHZ通过锁相环后输出2KHZ和1KHZ的时钟分别输送给分频器FDIV和计数器CNT138;FDIV将1MHZ的时钟分频成4HZ的时钟。

②计数器CNT138T完成计数功能,138进制,每来个时钟沿加一。

③乐谱ROM记录了1首歌的乐谱。

根据上一模块计数器所计的数读取相应地址里的数据传递给下一模块。

④译码器F_CODE将选择器所选择的歌曲rom里的地址的数据翻译成可预置计数器SPKER和简谱码显示DECL7S所需的数据。

⑤分频驱动器也就是一个数控分频器,完成分频的功能,并驱动蜂鸣器。

工作过程

当一个4Hz的时钟脉冲来到时,乐谱发生器模块输出一个音符数据给分频系数模块,分频系数模块输出此音符相应的分频系数所需的初始值,将初始值送给数控分频器模块,当1MHz的时钟脉冲来到时,数控分频器就根据分频系数输出相应的频率(即此音符所对应的发生频率)给扬声器,扬声器就可发出对应音符的声音来.连续的4Hz的时钟脉冲就将乐谱发生器里所存储的音符数据一个接一个的送给了分频系数模块,再经过数控分频模块,最后扬声器一个接一个的发出音符数据所对应的声音来。

曲子也就流畅的播放出来了。

同时led数码管会随着音乐显示相应的乐谱。

当乐曲一遍演奏完成后,乐曲发生器能自动从头开始循环演奏。

乐曲演奏的原理图

3系统实现

.分频器(FDIV)

将锁相环的2KHZ的时钟分频4Hz的时钟,供计数器使用。

(1)模块程序

moduleFDIV(CLK,PM);

inputCLK;

outputPM;

reg[8:

0]Q1;

regFULL;

wireRST;

always@(posedgeCLKorposedgeRST)

begin

if(RST)

begin

Q1<=0;

FULL<=1;

end

else

begin

Q1<=Q1+1;

FULL<=0;

end

end

assignRST=(Q1==449);

assignPM=FULL;

assignDOUT=Q1;

endmodule

(2)模块图形

.计数器CNT138T

它是一个8位二进制计数器,内部设置计数最大值为139,作为音符数据ROM的地址发生器。

每来一个时钟信号,计数器就计数一次,ROM文件中的地址也随着增加,音符数据ROM中的音符也就一个一个的取出来。

计数器CNT138T的设计

这个计数器的计数频率即为4Hz。

即每一计数值的停留时间为秒,恰为当全音符设为1秒时,四四拍的4分音符持续时间。

例如,“梁祝”乐曲的第一个音符为“3”,此音在逻辑中停留了4个时钟节拍,即1秒时间,相应地,所对应的“3”音符分频预置值为11'H40C,在SPKER的输入端停留了1秒。

随着计数器CNT138T按4Hz的时钟速率作加法计数时,即随地址值递增时,音符数据ROM模块MUSIC中的音符数据将从ROM中通过q[3..0]端口输向F_CODE模块,“梁祝”乐曲就开始连续自然地演奏起来了。

CNT138T的节拍是139,正好等于ROM中的简谱码数,所以可以确保循环演奏。

对于其他乐曲,此计数最大值要根据情况更改。

(1)模块程序

moduleCNT138T(CLK,CNT8);

inputCLK;

output[7:

0]CNT8;

reg[7:

0]CNT;

wireLD;

always@(posedgeCLKorposedgeLD)

begin

if(LD)

CNT<=8'b00000000;

else

CNT<=CNT+1;

end

assignCNT8=CNT;

assignLD=(CNT==138);

endmodule

(2)模块图形

.分频预置数译码器F_CODE模块

模块F_CODE的功能首先是为模块SPKER(11位分频器)提供决定所发音符的分频预置数,而此数在SPKER输入口停留的时间即为此音符的节拍周期,模块F_CODE是乐曲简谱码对应的分频预置数查表电路。

F_CODE模块的设计

程序中设置了“梁祝”乐曲全部音符所对应的分频预置数,共14个,每一音符的停留时间则由音乐节拍和音调发生查表模块MUSIC中简谱码和工作时钟inclock的频率决定,在此为4Hz。

模块F_CODE的14个值的输出由对应于MUSIC模块输出的q[3..0]及4位输入值INX[3..0]确定,而INX[3..0]最多有16种可选值。

输向模块F_CODE中INX[3..0]的值在SPKER中对应的输出频率值与持续的时间由模块MUSIC决定。

(1)模块程序

moduleF_CODE(INX,CODE,H,TO);

input[3:

0]INX;

output[3:

0]CODE;

outputH;

output[10:

0]TO;

reg[10:

0]TO;

reg[3:

0]CODE;

regH;

always@(INX)

begin

case(INX)

0:

beginTO<=11'H7FF;CODE<=0;H<=0;end

1:

beginTO<=11'H305;CODE<=1;H<=0;end

2:

beginTO<=11'H390;CODE<=2;H<=0;end

3:

beginTO<=11'H40C;CODE<=3;H<=0;end

4:

beginTO<=11'H45C;CODE<=4;H<=0;end

5:

beginTO<=11'H4AD;CODE<=5;H<=0;end

6:

beginTO<=11'H50A;CODE<=6;H<=0;end

7:

beginTO<=11'H55C;CODE<=7;H<=0;end

8:

beginTO<=11'H582;CODE<=1;H<=1;end

9:

beginTO<=11'H5C8;CODE<=2;H<=1;end

10:

beginTO<=11'H606;CODE<=3;H<=1;end

11:

beginTO<=11'H640;CODE<=4;H<=1;end

12:

beginTO<=11'H656;CODE<=5;H<=1;end

13:

beginTO<=11'H684;CODE<=6;H<=1;end

14:

beginTO<=11'H69A;CODE<=7;H<=1;end

15:

beginTO<=11'H6C0;CODE<=1;H<=1;end

default:

beginTO<=11'H6c0;CODE<=1;H<=1;end

endcase

end

endmodule

(2)模块图形

数字分频器SPKER模块

音符的频率由SPKER获得,这是一个数控分频器。

数字分频器SPKS模块设计

它的CLK端输入一具有较高频率(1MHz)的时钟,由于直接从分频器中出来的输出信号是脉宽极窄的信号,为了有利于驱动扬声器,需另加一个D触发器分频以均衡其占空比,但这时的频率将是原来的1/2。

SPKER对CLK输入信号的分频比由输入的11位预置数TN[10..0]决定。

扬声器的输出频率将决定每一音符的音调;这样,分频计数器的预置值TN[10..0]与输出频率就有了对应关系,而输出的频率又与音乐音符的发声有对应关系,例如在F_CODE模块中若TN[10..0]=11'H40C,将由扬声器发出音符为“3”音的信号频率。

(1)程序模块1

moduleSPKER(CLK,TN,SPKS);

inputCLK;

input[10:

0]TN;

outputSPKS;

regSPKS;

reg[10:

0]CNT11;

always@(posedgeCLK)

begin:

CNT11B_LOAD

if(CNT11==11'h7FF)

begin

CNT11=TN;

SPKS<=1'b1;

end

else

begin

CNT11=CNT11+1;

SPKS<=1'b0;

end

end

endmodule

(2)模块图形

(2)程序模块2

moduleSPKER(CLK,TN,SPKS);

inputCLK;

input[2:

0]TN;

outputSPKS;

regSP

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > IT计算机

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1