基于VHDL的多功能数字钟设计报告.docx

上传人:b****4 文档编号:3125482 上传时间:2022-11-17 格式:DOCX 页数:16 大小:435.95KB
下载 相关 举报
基于VHDL的多功能数字钟设计报告.docx_第1页
第1页 / 共16页
基于VHDL的多功能数字钟设计报告.docx_第2页
第2页 / 共16页
基于VHDL的多功能数字钟设计报告.docx_第3页
第3页 / 共16页
基于VHDL的多功能数字钟设计报告.docx_第4页
第4页 / 共16页
基于VHDL的多功能数字钟设计报告.docx_第5页
第5页 / 共16页
点击查看更多>>
下载资源
资源描述

基于VHDL的多功能数字钟设计报告.docx

《基于VHDL的多功能数字钟设计报告.docx》由会员分享,可在线阅读,更多相关《基于VHDL的多功能数字钟设计报告.docx(16页珍藏版)》请在冰豆网上搜索。

基于VHDL的多功能数字钟设计报告.docx

基于VHDL的多功能数字钟设计报告

 

基于VHDL的多功能数字钟

设计报告

 

021215班

卫时章

 

一、设计要求

1、具有以二十四小时制计时、显示、整点报时、时间设置和闹钟的功能。

2、设计精度要求为1秒。

二、设计环境:

QuartusII

三、系统功能描述

1、系统输入:

时钟信号clk采用50MHz;系统状态及较时、定时转换的控制信号为k、set,校时复位信号为reset,均由按键信号产生。

2、系统输出:

LED显示输出;蜂鸣器声音信号输出。

3、多功能数字电子钟系统功能的具体描述如下:

(一)计时:

正常工作状态下,每日按24h计时制计时并显示,蜂鸣器无声,逢整点报时。

(二)校时:

在计时显示状态下,按下“k”键,进入“小时”待校准状态,若此时按下“set”键,小时开始校准;之后按下“k”键则进入“分”待校准状态;继续按下“k”键则进入“秒”待复零状态;再次按下“k”键数码管显示闹钟时间,并进入闹钟“小时”待校准状态;再次按下“k”键则进入闹钟“分”待校准状态;若再按下“k”键恢复到正常计时显示状态。

若校时过程中按下“reset”键,则系统恢复到正常计数状态。

(1)“小时”校准状态:

在“小时”校准状态下,显示“小时”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。

(2)“分”校准状态:

在“分”校准状态下,显示“分”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。

(3)“秒”校准状态:

在“秒复零”状态下,显示“秒”的数码管以2Hz闪烁,并以1Hz的频率递增计数。

(4)闹钟“小时”校准状态:

在闹钟“小时”校准状态下,显示“小时”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。

(5)闹钟“分”校准状态:

在闹钟“分”校准状态下,显示“分”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。

(三)整点报时:

蜂鸣器在“59”分钟的第“51”、“53”、“55”、“57”秒发频率为500Hz的低音,在“59”分钟的第“59”秒发频率为1000Hz的高音,结束时为整点。

(四)显示:

采用扫描显示方式驱动4个LED数码管显示小时、分,秒由两组led灯以4位BCD码显示。

(五)闹钟:

闹钟定时时间到,蜂鸣器发出频率为1000Hz的高音,持续时间为60秒。

四、各个模块分析说明

1、分频器模块(freq.vhd)

(1)模块说明:

输入一个频率为50MHz的CLK,利用计数器分出

1KHz的q1KHz,500Hz的q500Hz,2Hz的q2Hz和1Hz的q1Hz。

(2)源程序:

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityfreqis

port(CLK:

instd_logic;--输入时钟信号

q1KHz:

bufferstd_logic;

q500Hz:

bufferstd_logic;

q2Hz:

bufferstd_logic;

q1Hz:

outstd_logic);

endfreq;

architecturebhvoffreqis

begin

P1KHZ:

process(CLK)

variablecout:

integer:

=0;

begin

ifCLK'eventandCLK='1'then

cout:

=cout+1;--每来个时钟上升沿时cout开始计数

ifcout<=25000thenq1KHz<='0';--当cout<=25000时,q1KHz输出“0”

elsifcout<50000thenq1KHz<='1';--当25000

elsecout:

=0;--输出“1”,完成1KHz频率输出

endif;

endif;

endprocess;

P500HZ:

process(q1KHz)--q1KHz作为输入信号,分出q500Hz

variablecout:

integer:

=0;

begin

ifq1KHz'eventandq1KHz='1'then

cout:

=cout+1;

ifcout=1thenq500Hz<='0';--二分频

elsifcout=2thencout:

=0;q500Hz<='1';

endif;

endif;

endprocess;

P2HZ:

process(q500Hz)

variablecout:

integer:

=0;

begin

ifq500Hz'eventandq500Hz='1'then

cout:

=cout+1;

ifcout<=125thenq2Hz<='0';

elsifcout<250thenq2Hz<='1';

elsecout:

=0;

endif;

endif;

endprocess;

P1HZ:

process(q2Hz)

variablecout:

integer:

=0;

begin

ifq2Hz'eventandq2Hz='1'then

cout:

=cout+1;

ifcout=1thenq1Hz<='0';

elsifcout=2thencout:

=0;q1Hz<='1';

endif;

endif;

endprocess;

endbhv;

(3)模块图:

2、控制器模块(contral.vhd)

(1)模块说明:

输入端口k,set键来控制6个状态,这六个状态分别是:

显示计时时间状态,调计时的时、分、秒的3个状态,调闹铃的时、分的3个状态,reset键是复位键,用来回到显示计时时间的状态。

(2)波形仿真图:

(3)模块图:

3、二选一模块(mux21a.vhd)

(1)源程序:

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entitymux21ais

port(a,b,s:

inbit;

y:

outbit);

endentitymux21a;

architectureoneofmux21ais

begin

process(a,b,s)

begin

ifs='0'then

y<=a;--若s=0,y输出a,反之输出b。

elsey<=b;

endif;

endprocess;

endarchitectureone;

(2)仿真波形图:

(3)模块图:

4、计时模块

a.秒计时(second.vhd)

(1)仿真波形图:

(2)模块图:

b.分计时(minute.vhd)

(1)仿真波形图:

(2)模块图:

c.小时计时(hour.vhd)

(1)仿真波形图:

(2)模块图:

d.闹钟分计时(cntm60b.vhd)

(1)仿真波形图:

(2)模块图:

e.闹钟小时计时(cnth24b.vhd)

(1)仿真波形图:

(2)模块图:

5、闹钟比较模块(compare.vhd)

(1)模块说明:

比较正常计数时间与闹钟定时时间是否相等,若相等,compout输出“1”,反之输出“0”。

(2)仿真波形图:

(3)模块图:

6、报时模块(bell.vhd)

(1)模块说明:

该模块既实现了整点报时的功能,又实现了闹铃的功能,蜂鸣器通过所选频率的不同,而发出不同的声音。

(2)仿真波形图:

(3)模块图:

7、控制显示模块(show_con.vhd)

(1)模块说明:

该模块实现了数码管既可以显示正常时间,又可以显示闹钟时间的功能;调时过程的定时闪烁功能也在此模块中真正实现。

(2)源程序:

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityshow_conis

port(th1,tm1,ts1:

instd_logic_vector(7downto4);

th0,tm0,ts0:

instd_logic_vector(3downto0);

bh1,bm1:

instd_logic_vector(7downto4);

bh0,bm0:

instd_logic_vector(3downto0);

sec1,min1,h1:

outstd_logic_vector(7downto4);

sec0,min0,h0:

outstd_logic_vector(3downto0);

q2Hz,flashs,flashh,flashm,sel_show:

instd_logic);

endshow_con;

architecturertlofshow_conis

begin

process(th1,tm1,ts1,th0,tm0,ts0,bh1,bm1,bh0,bm0,q2Hz,flashs,flashh,flashm,sel_show)

begin

ifsel_show='0'then

if(flashh='1'andq2Hz='1')then

h1<="1111";h0<="1111";--显示小时数码管以2Hz闪烁

min1<=tm1;min0<=tm0;

sec1<=ts1;sec0<=ts0;

elsif(flashm='1'andq2Hz='1')then

h1<=th1;h0<=th0;

min1<="1111";min0<="1111";

sec1<=ts1;sec0<=ts0;

elsif(flashs='1'andq2Hz='1')then

h1<=th1;h0<=th0;

min1<=tm1;min0<=tm0;

sec1<="1111";sec0<="1111";

else

h1<=th1;h0<=th0;

min1<=tm1;min0<=tm0;

sec1<=ts1;sec0<=ts0;

endif;

elsifsel_show='1'then--若sel_show为“1”,数码管显示闹钟时间

if(flashh='1'andq2Hz='1')then

h1<="1111";h0<="1111";

min1<=bm1;min0<=bm0;

sec1<="0000";sec0<="0000";

elsif(flashm='1'andq2Hz='1')then

h1<=bh1;h0<=bh0;

min1<="1111";min0<="1111";

sec1<="0000";sec0<="0000";

else

h1<=bh1;h0<=bh0;

min1<=bm1;min0<=bm0;

sec1<="0000";sec0<="0000";

endif;

endif;

endprocess;

endrtl;

(3)模块图:

8、动态扫描显示模块(scan_led.vhd)

(1)模块说明:

由4组输入信号和输出信号进而实现了时钟时、分的动态显示。

(2)源程序:

libraryieee;

useieee.std_log

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 农林牧渔 > 林学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1