淮阴工学院EDA试题库.docx

上传人:b****0 文档编号:30679 上传时间:2022-10-01 格式:DOCX 页数:18 大小:34.74KB
下载 相关 举报
淮阴工学院EDA试题库.docx_第1页
第1页 / 共18页
淮阴工学院EDA试题库.docx_第2页
第2页 / 共18页
淮阴工学院EDA试题库.docx_第3页
第3页 / 共18页
淮阴工学院EDA试题库.docx_第4页
第4页 / 共18页
淮阴工学院EDA试题库.docx_第5页
第5页 / 共18页
点击查看更多>>
下载资源
资源描述

淮阴工学院EDA试题库.docx

《淮阴工学院EDA试题库.docx》由会员分享,可在线阅读,更多相关《淮阴工学院EDA试题库.docx(18页珍藏版)》请在冰豆网上搜索。

淮阴工学院EDA试题库.docx

淮阴工学院EDA试题库

淮阴工学院EDA试题库

      EDA试题库建设  [70%基础题,20%中档题,10%提高题,选择题10题),简答题4题,分析题2题,设计题2题。

]  基础题部分  填空题  1.一般把EDA技术的发展分为、和三个阶段。

2.EDA设计流程包括、、和  四个步骤。

    3.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为。

  4.VHDL的数据对象包括、和,它们是用来存放各种类型数据的容器。

  5.图形文件设计结束后一定要通过,检查设计文件是否正确。

  6.以EDA方式设计实现的电路设计文件,最终可以编程下载到或者芯片中,完成硬件设计和验证。

  7.MAX+PLUS的文件类型是  。

  8.在PC上利用VHDL进行项目设计,不允许在下进行,必须在根目录为设计建立一个工程目录。

  9.VHDL源程序的文件名应与相同,否则无法通过编译。

10.常用EDA的设计输入方式包括、、。

11.在VHDL程序中,和是两个必须的基本部分。

12.将硬件描述语言转化为硬件电路的重要工具软件称为。

13、VHDL的数据对象分为、和3类。

  14、VHDL的操作符包括和。

15、常用硬件描述语言有、以及。

16、VHDL基本语句有、和属性自定义语句。

17、VHDL同或逻辑操作符是。

18、原理图文件类型后缀名是,VerilogHDL语言文件类型的后缀名是。

19、十六进制数16#E#E1对应的十进制数值是。

20、一个完整的VHDL程序应包含三个基本部分,即库文件说明、和。

  21、VHDL不等于关系运算符是  。

  22、STD_LOGIC_1164程序包是库中最常用的程序包。

23.文本输入是指采用进行电路设计的方式。

  24.当前最流行的并成为IEEE标准的硬件描述语言包括和。

  25.采用PLD进行的数字系统设计,是基于的设计或称之为的设计。

26.硬件描述语言HDL给PLD和数字系统的设计带来了更新的设计方法和理念,产生了目前最常用的并称之为的设计法。

  工具大致可以分为、、、以及等5个模块。

  28.将硬件描述语言转化为硬件电路的重要工具软件称为。

  29.用MAX+plusII输入法设计的文件不能直接保存在上,因此设计者在进入设计之前,应当在计算机中建立保存设计文件的。

  30.若在MAX+plusII集成环境下,执行原理图输入设计方法,应选择命令方式。

  31.若在MAX+plusII集成环境下,执行文本输入设计方法,应选择方式。

32.\\maxplus2\\max2lib\\prim是MAX+plusII元件库,其中包括、、、、等元件。

  33.\\maxplus2\\max2lib\\mf是函数元件库,包括、、、、等74系列器件。

  34.图形文件设计结束后一定要通过,检查设计文件是否正确。

  35.在MAX+plusII集成环境下可以执行命令,为通过编译的图形文件产生一个元件符号。

这个元件符号可以被用于其他的图形文件设计,以实现的系统电路设计。

  36.执行MAX+p1usIl的“TimlngAnalyzer”命令,可以设计电路输入与输出波形间的。

  37.指定设计电路的输入/输出端口与目标芯片引脚的连接关系的过程称为。

38.MAX+plusII的波形文件类型是。

  39.层次化设计是将一个大的设计项目分解为若干个(子项目)或者若干个(层次)来完成的。

先从(顶层)的电路设计开始,然后在(顶层)的设计中逐级调用的设计结果,直至实现系统电路的设计。

  40.一个项目的输入输出端口是定义在中。

41.描述项目具有逻辑功能的是。

  42.关键字ARCHITECTURE定义的是。

43.1987标准的VHDL语言对大小写。

  44.关于1987标准的VHDL语言中,标识符必须以开头。

语言中变量定义的位置是。

46.VHDL语言中信号定义的位置是。

47.变量赋值号是(:

=),信号赋值号是(  52.EDA的中文含义是。

53.可编程逻辑器件的英文简称是。

54.现场可编程门阵列的英文简称是。

55.在EDA中,ISP的中文含义是。

56.EPF10K20TC144-4具有个管脚。

57.MAXPLUSII中原理图的后缀是。

  58.VHDL语言共支持四种常用库,其中库是用户的VHDL设计现行工作库。

59.在EDA工具中,能将硬件描述语言转换为硬件电路的重要工具软件称为。

60.在VHDL的CASE语句中,条件句中的“=>”不是操作符号,它只相当与作用。

61.assign—>pin/locationchip命令是MAXPLUSII软件中的命令。

62.在VHDL中,可以用语句表示检测clock下降沿。

  63.在VHDL中,语句”FORIIN0TO7LOOP”定义循环次数为次。

64.在VHDL中,PROCESS结构内部是语句组成的。

  65.执行MAX+PLUSII的命令,可以对设计的电路进行仿真。

66.执行MAX+PLUSII的命令,可以对设计的电路进行编译。

67.执行MAX+PLUSII的命令,可以对设计的电路进行下载。

68.在VHDL中,PROCESS本身是语句。

  69.在元件例化语句中,用符号实现名称映射,将例化元件端口声明语句中的信号与PORTMAP中的信号名关联起来。

  70.在MAX+PLUSII集成环境下为图形文件产生一个元件符号的主要作用是。

  71.在MAX+PLUSII工具软件中,完成网表提取、数据库建立、逻辑综合、逻辑分割、适配、延时网表提取和编程文件汇编等操作,并检查设计文件是否正确的过程称为。

72.在VHDL中,IF语句中至少应有1个条件句,条件句必须  表达式构成。

  73.在VHDL中不能将信息带出对它定义的当前设计单元。

74.在VHDL中,一个设计实体可以拥有一个或多个。

  75.在VHDL的IEEE标准库中,预定义的标准逻辑数据STD_LOGIC有种逻辑值。

76.在VHDL中,用语句表示clock的上升沿。

77、仿真是对电路设计的一种检测方法。

    78.QuartusII中建立设计项目的菜单是。

  79.执行QuartusII的命令,可以为设计电路建立一个元件符号。

  80.使用QuartusII的图形编辑方式输入的电路原理图文件必须通过才能进行仿真验证.  81.QuartusII的波形文件当中设置仿真时间的命令是。

  82.完整的IF语句,其综合结果可实现。

  83.描述项目具有逻辑功能的是。

  84.protel原理图设计时,按下(Q)键可实现英制和公制的转换。

      85.在VHDL语言的程序中,注释使用(--)符号。

  86.protel原理图设计时,按下(E+M+M键)快捷键可实现“移动功能”。

  87.在放置元器件的过程按下键可以调出元件属性对话框。

    88.40mil大约等于m。

A、B、  C、  D、89.通常所说的几层板指的是的层数。

  90.执行命令操作,元器件按顶端对齐。

91.执行(AlignBottom)命令操作,元器件按底端对齐.92.执行(AlignLeft)命令操作,元器件按左端对齐.93.执行(AlignRight)命令操作,元气件按右端对齐.  94.原理图设计时,实现连接导线应选择(Place/Wire)命令.95.要打开原理图编辑器,应执行(Schematic)菜单命令.96.进行原理图设计,必须启动编辑器。

  97.使用计算机键盘上的键可实现原理图图样的缩小。

98.往原理图图样上放置元器件前必须先。

  99.执行命令,即可弹出PCB系统参数设置对话框。

  100.在印制电路板的层画出的封闭多边形,用于定义印制电路板形状及  尺寸。

  101.印制电路板的层主要用于绘制元器件外形轮廓以及标识元器件标号等。

该类层共有两层。

  102.在放置元器件封装过程中,按键使元器件封装旋转。

  103.在放置元器件封装过程中,按键使元器件在水平方向左右翻转。

104.在放置元器件封装过程中,按键使元器件在竖直方向上下翻转。

105.在放置元器件封装过程中,按键使元器件封装从顶层移到底层。

106.在放置导线过程中,可以按键来取消前段导线。

107.在放置导线过程中,可以按键来切换布线模式。

108.执行命令操作,元器件按水平中心线对齐。

  109.MAX+plusII支持原理图、、语言及以波形与EDIF等格式的文件,并支持混合设计、仿真和仿真。

  110.结构体是用于描述设计实体的以及实体端口间的,它不能单独存在,必须有一个界面说明即。

对具有多个结构体的实体,必须用语句指明用于综合的结构体和用于仿真的结构体。

  111.、的对象元素构成的称为记录类型的对象。

  选择题1.关于EDA技术的设计流程,下列顺序正确的是(A)  A原理图/HDL文本输入→功能仿真→综合→适配→编程下载→硬件测试B原理图/HDL文本输入→适配→综合→功能仿真→编程下载→硬件测试;C原理图/HDL文本输入→功能仿真→综合→编程下载→适配硬件测试;D原理图/HDL文本输入→功能仿真→适配→编程下载→综合→硬件测试  2.对利用原理图输入设计方法进行数字电路系统设计,下面说法是不正确的  A原理图输入设计方法直观便捷,但不适合完成较大规模的电路系统设计;B原理图输入设计方法一般是一种自底向上的设计方法;C原理图输入设计方法无法对电路进行功能描述;D原理图输入设计方法也可进行层次化设计。

  3.QuartusII的设计文件不能直接保存在。

  A系统默认路径  B硬盘根目录  C项目文件夹  D用户自定义工程目录4.使用QuartusII工具软件建立仿真文件,应采用方式.  A.图形编辑B.文本编辑  C.符号编辑  D.波形编辑5.建立设计项目的菜单是.A.“File”?

“New”B.“Project”?

“NewProjectWizard”C.“File”?

“NewProjectWizard”  6.在QuartusII集成环境下为图形文件产生一个元件符号的主要用途是.A.仿真  B.编译  C.综合  D.被高层次电路设计调用7.仿真是对电路设计的一种检测方法.  A.直接的B.间接的  C.同步的  D.异步的8.执行QuartusII的命令,可以对设计电路进行功能仿真或者时序仿真.A.CreateDefaultSymbol  B.StartSimulation  C.Compiler    D.TimingAnalyzer      9.QuartusII的图形设计文件类型是.  A..scf  B..bdf  C..vhd  D..v10.QuartusII是  A.高级语言B.硬件描述语言C.EDA工具软件D.综合软件11.使用QuartusII工具软件实现原理图设计输入,应采用方式.  A.模块/原理图文件B.文本编辑  C.符号编辑  D.波形编辑  12.一个能为VHDL综合器接受,并能作为一个独立的设计单元的完整的VHDL程序称为.  A.设计输入B.设计输出C.设计实体  D.设计结构13.VHDL常用的库是标准库.  A.IEEE  B.STD  C.WORK  D.PACKAGE14.在VHDL的端口声明语句中,用声明端口为输入方向.A.IN  B.OUT  C.INOUT  D.BUFFER  15.在VHDL的端口

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > IT计算机 > 电脑基础知识

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1