MATLAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计.docx

上传人:b****8 文档编号:30611701 上传时间:2023-08-18 格式:DOCX 页数:18 大小:895.14KB
下载 相关 举报
MATLAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计.docx_第1页
第1页 / 共18页
MATLAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计.docx_第2页
第2页 / 共18页
MATLAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计.docx_第3页
第3页 / 共18页
MATLAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计.docx_第4页
第4页 / 共18页
MATLAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计.docx_第5页
第5页 / 共18页
点击查看更多>>
下载资源
资源描述

MATLAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计.docx

《MATLAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计.docx》由会员分享,可在线阅读,更多相关《MATLAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计.docx(18页珍藏版)》请在冰豆网上搜索。

MATLAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计.docx

MATLAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计

MATLAB设计,FPGA实现,联合ISE和Modelsim仿真的FIR滤波器设计

摘要:

本文首先利用MATLAB产生两个频率不一样的正弦信号,并将这两个正弦信号相加,得到一个混叠的波形;然后利用MATLAB设计一个FIR低通滤波器,并由Verilog实现,联合ISE和Modelsim仿真,实现滤除频率较高的信号,并将滤波后的数据送到MATLAB中分析。

本文首先介绍FIR滤波器的基本原理,然后从目前最流行的的设计方式入手,逐步介绍FIR滤波器的设计,其流程图如图1所示:

图1

一、FIR滤波器的基本原理:

数字滤波器是从分析信号中提取用户需要的信息,滤去不需要的信号成分或者干扰成分。

数字滤波器的数学模型:

线性时不变数字滤波器的数学模型在时域中可以用线性常系数差分方程给出:

|

其等效的Z变换为:

全为0时,Z域系统函数只有零点,数字滤波器的单位脉冲响应有限,通常这用滤波器被称为有限冲激响应(FIR)滤波器。

它的输出只与当前的输入和过去的输入有关,跟过去的输出无关。

FIR滤波器的一个重要特性是具有线性相位,即系统的相异和频率成正比,可达到无失真传输。

更加详细的介绍FIR滤波器的理论算法知识,请参考别的先关书籍资料、

二、FIR滤波器的设计及验证:

1)由MATLAB产生FIR滤波器的输入数据,并存放到.txt文件中

用MATLAB产生两个频率分别为:

和2MHz的正弦信号,并将这两个正弦信号叠加,得到一个混叠的信号,并将这个混叠信号的波形数据存放到C盘根目录下面的文件中。

MATLAB实现代码如下:

`

clearall;

fs=;%25M采样率

t=0:

1/fs:

;%共*=5000个点

f1=500000;

f2=2000000;

signal1=sin(2*pi*f1*t);%频率为的正弦信号

signal2=sin(2*pi*f2*t);%频率为的正弦信

%由于正、余弦波形的值在[0,1]之间,需要量化成16bit,先将数值放大

y2=fix(16384+(2^14-1)*signal1);%量化到15bit

y3=fix(16384+(2^14-1)*signal2);%量化到15bit

y1=y2+y3;%量化到16bit

%再将放大的浮点值量化,并写道到存放在C盘的文本中

fid=fopen('c:

/','wt');

fprintf(fid,'%x\n',y1);%以16进制的格式将数据存放到.txt文件中。

如果不用16进制,后面

%读数会出错。

fclose(fid);

~

plot(y2,'b');

holdon;

plot(y3,'b');

holdon;

plot(y1,'r');

legend('正弦','2MHz正弦','两者叠加');

title('MATLAB产生的两个正弦信号的叠加波形');

信号波形图如图2所示:

:

图2

存放数据的文件路径、名称已经文本的内容如图3所示:

图3

用MATLAB回读C盘根目录下的文件,验证存入的波形数据是否正确,MATLAB代码如下:

fid=fopen('c:

/','r');

fori=1:

5001;%一共有5001个数据

num(i)=fscanf(fid,'%x',1);%从fid所指的文件中,以16进制的方式读出一个数据

end

fclose(fid);

figure

(2);

plot(num,'b');

legend('MATLAB从txt文件中读出的原始叠加波形数据');

title('直接回读MATLAB产生的两个正弦信号的叠加波形');

显示的波形如图4所示:

图4

对比图4和图2中的叠加波形,可知以上操作的正确性。

2)用MATLAB设计FIR滤波器

输入信号是频率别为和2MHz的正弦信号的叠加,我们的任务是设计一个低通滤波器滤除掉2MHz的干扰信号。

因此,我们可以设计一个采样率为25MHz的低通滤波器,其通带带宽为1MHz,阻带宽度为2MHz。

通带内纹波抖动为1dB,阻带下降为80dB。

在MATLAB的命令窗口输入:

fdatool命令并回车,打开FDATool工具箱,用MATLAB的FDATool工具设计该滤波器,参数设置如图5所示:

设计好参数后,点击DesignFilter,可以在FDATool窗口的左上角看到滤波器的阶数为63阶,点击FileGenerateM-file,并将滤波器命名为mylowfilter。

[

图5

编写如下代码,滤除混叠信号中的高频信号。

Hd=mylowfilter;%滤波器名称

output=filter(Hd,y1);%对叠加信号y,进行滤波处理

figure(3);

plot(y2,'k');

holdon;

plot(output,'r');

legend('原始信号','滤波后取出的信号');

title('信号通过MTALAB的低通滤波器后的波形');

滤波后的波形如图6所示:

图6

生成.coe文件,用于Xilinx的IPCore设计滤波器,FDATool窗口点击FileExport…,保持默认设置,点击Export即可,次数在MATLAB的workspace窗口多出一个Num的1*64的数组,这就是滤波器的系数,如图7所示:

图7

由于MATLAB生成的滤波器系数全是一些小数,而FPGA只能处理整数,因此我们必须将这些小数扩大一定的倍数,使它们变成整数。

在MATLAB的命令窗口输入下面的一段代码然后按Enter,即可将上面这些系数变为整数,注意这里的*32767,表示将系数扩大32767倍,这里的扩大倍数只能选2^N,目的是为了后面滤波后的波形数据的高位截取(丢掉低位,即除以2^N)。

返回ans=0,表示操作正确。

coeff=round(Num/max(abs(Num))*32767);

%abs()求绝对值,max()求最大值,round()四舍五入

fid=fopen('e:

/','wt');%将滤波器系数写入文件件中

fprintf(fid,'%\n',coeff);%将滤波器系数以16位浮点数的格式保存

fclose(fid)

程序运行的结果如图8所示:

`

图8

将文件的格式改为.coe格式,在文件的开口加上:

radix=10;

coefdata=

在每个数字前的空格处加一个逗号“,”,并在最后一个数字后面加上分号“;”如图9所示:

图9

这样就产生了可供FIR滤波器的IPCore可调用的.coe文件。

3)用Verilog编程读取MATLAB产生的波形数据

通过Verilog的$readmemh()函数即可读出.txt文件中的数据(这里的数据是以16进制形式存储的),具体用法如下:

a)reg[15:

0]data_in[0:

2000];

oe文件导入滤波器的系数。

b)输入信号的采样频率为25MHz,这里必须和MATLAB产生的波形数据的采样频率保持一致。

c)FIR滤波器的运行时钟,这里我们选择250MHz,这里必须和仿真文件里给的时钟保持一致。

d)输入数据的类型,我们这里选择了无符号数,输入数据位宽为16bit,因为modelsim不能读出负数(要么用补码,要么加偏移)。

如图11、图12所示:

图11

图12

FIR的IPCore的列化如下:

FIR16_IPFIR16_IP_ins(

.clk(clk),fd(rfd),dy(rdy),in(data_in_reg),out(dout));xt文件当中,然后用MATLAB去读取这个波形文件数据,看看读出的波形是否和原来的混叠波形一样。

具体的Verilog和MATLAB代码如下:

integerwr_file;

initialwr_file=$fopen("c:

/");

:

always@(m)begin

if(reset==1'b1)begin

4)$fdisplay(wr_file,"%h",data_in_reg);

xt文件中

有上面的步骤我们已经验证了输入到FIR滤波器的数据都是正确的,下面就将FIR滤波器的输出数据保存到一个.txt文件当中供MATLAB读取。

lk(clk),fd(rfd),dy(rdy),in(data_in_reg),out(dout));xt文件中*******************

clearall;

fs=;%25M采样率

t=0:

1/fs:

;%共*=5000个点

$

f1=500000;

f2=2000000;

signal1=sin(2*pi*f1*t);%频率为的正弦信号

signal2=sin(2*pi*f2*t);%频率为的正弦信号

%y1=signal1+signal2;%两个正弦信号叠加

%x=linspace(0,,2048);%在区间[0,]=2*pi之间等间隔的取1024个点

%y1=sin(x);%计算相应的余弦值

%由于正、余弦波形的值在[0,1]之间,需要量化成16bit,先将数值放大

%y1=y1*32768;%32*1024=32768

%y1=y1*16384;%32*1024=32768

%y1=y1+32768;

y2=fix(16384+(2^14-1)*signal1);

y3=fix(16384+(2^14-1)*signal2);

y1=y2+y3;

%再将放大的浮点值量化,并写道到存放在C盘的文本中

fid=fopen('c:

/','wt');

>

%fprintf(fid,'%\n',y1);%在写文件时量化为16bit的定点实数【%,表示16bit定点数,f表示实数】,范围是:

-32768-32767

fprintf(fid,'%x\n',y1);%在写文件时量化为16bit的定点实数【%,表示16bit定点数,f表示实数】,范围是:

-32768-32767

fclose(fid);

figure

(1);

plot(y2,'b');

holdon;

plot(y3,'b');

holdon;

[

plot(y1,'r');

legend('正弦','2MHz正弦','两者叠加');

title('MATLAB产生的两个正弦信号的叠加波形');

%**********************MATLAB回读保存到.txt文件中的信号*******************

fid=fopen('c:

/','r');

fori=1:

5001;

%num(i)=fscanf(fid,'%f',1);%从fid所指的文件中,以实数的方式读出一个数据

num(i)=fscanf(fid,'%x',1);%从fid所指的文件中,以实数的方式读出一个数据

end

fclose(fid);

figure

(2);

plot(num,'b');

legend('MATLAB从txt文件中读出的原始叠加波形数据');

title('直接回读MATLAB产生的两个正弦信号的叠加波形');

%*****************MATLAB设计FIR滤波器并对比滤波器前后的波形****************

Hd=mylowfilter;%滤波器名称

^

output=filter(Hd,y1);%对叠加信号y,进行滤波处理

figure(3);

plot(y2,'k');

holdon;

plot(output,'r');

legend('原始信号','滤波后取出的信号');

title('信号通过MTALAB的低通滤波器后的波形');

%*********MATLAB回读FIR滤波器的输入数据***************

-

fid=fopen('c:

/','r');

forj=1:

2000;

num1(j)=fscanf(fid,'%x',1);%这句话的意思是从fid所指的文件以16进制方式读出一个数据。

end

fclose(fid);

figure(4);

plot(num1,'r');

legend('Verilog读出的txt文件中的数据');

title('FIR滤波器的输入数据');

%*********MATLAB回读FIR滤波器滤波后的数据(FIR由Verilog实现)***************

fid=fopen('c:

/','r');

fori=1:

2000;

num(i)=fscanf(fid,'%x',1);%这句话的意思是从fid所指的文件以16进制方式读出一个数据。

end

fclose(fid);

figure(5);

plot(num,'r');

y4=y2;

holdon;

plot(y4,'k');

legend('经过FIR_IPCore滤波后的数据','的原始数据放大16384倍');

title('经过FIR滤波器的输出数据');

 

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 幼儿教育 > 家庭教育

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1