增益自动切换电压放大电路的设计.docx

上传人:b****1 文档编号:295983 上传时间:2022-10-08 格式:DOCX 页数:23 大小:1MB
下载 相关 举报
增益自动切换电压放大电路的设计.docx_第1页
第1页 / 共23页
增益自动切换电压放大电路的设计.docx_第2页
第2页 / 共23页
增益自动切换电压放大电路的设计.docx_第3页
第3页 / 共23页
增益自动切换电压放大电路的设计.docx_第4页
第4页 / 共23页
增益自动切换电压放大电路的设计.docx_第5页
第5页 / 共23页
点击查看更多>>
下载资源
资源描述

增益自动切换电压放大电路的设计.docx

《增益自动切换电压放大电路的设计.docx》由会员分享,可在线阅读,更多相关《增益自动切换电压放大电路的设计.docx(23页珍藏版)》请在冰豆网上搜索。

增益自动切换电压放大电路的设计.docx

增益自动切换电压放大电路的设计

东南大学电工电子实验中心

实验报告

 

课程名称:

电子电路实践

 

第二次实验

 

实验名称:

增益自动切换电压放大电路的设计

院(系):

吴健雄学院专业:

电强化班

姓名:

周晓慧学号:

********

实验室:

实验组别:

同组人员:

实验时间:

2012年4月13日

评定成绩:

审阅教师:

 

实验二增益自动切换电压放大电路的设计

一、实验内容及要求

用运算放大器设计一个电压放大电路,能够根据输入信号幅值切换调整增益。

电路应实现的功能与技术指标如下:

1.基本要求

1)放大器能够具有0.1、1、10增益,并能够以数字方式切换增益。

2)输入一个幅度可调的直流信号,要求放大器输出信号电压在0.5~10V范围内,设计电路根据输入信号的情况自动切换调整增益倍率。

3)放大器输入阻抗不小于100kΩ,输出阻抗不大于1kΩ。

2.提高要求

1)输入一个交流信号,频率10kHz,幅值范围为0.1~10V(峰峰值Vpp),要求输出信号电压控制0.5~10V(峰峰值Vpp)的范围内。

2)显示增益值。

3.创新要求

1)利用数字系统综合设计中FPGA构建AD采集模块,来实现程控增益放大器的设计。

分析项目的功能与性能指标:

(1)项目功能:

1、当输入为直流电压时,能够根据其电压大小,选择放大的倍数实现输出,具体如下当Ui为0.1~0.5V时,增益为10倍;当Ui为0.5~5V时,增益为1倍;当Ui为5~10V时,增益为0.1倍。

2、当输入为交流信号时,能够将其整流滤波,提取出峰峰值,以便判断应选择的放大倍数,具体如下:

当输入信号峰峰值为0.1~0.5V时,增益为10倍;当输入信号峰峰值为0.5~5V时,增益为1倍;当输入信号峰峰值为5~10V时,增益为0.1倍。

注:

选择用峰峰值而不用峰值的原因在于与基础的输入直流信号可选择一样的参考电压,更加方便。

3、能够用led灯数码管显示不同的增益。

(2)性能指标:

1、跳变点处0.5V和5V误差不能太大。

2、输入信号在各个范围内的增益是否为10、1、和0.1,误差不能太大。

3、输出范围是否为0.5V~5V。

4、增益显示情况是否清楚。

二、电路设计(预习要求)

(1)电路设计思想(请将基本要求、提高要求、创新要求分别表述):

基本要求:

1、根据方向比例运算电路可知,增益Au=-Rf/R1.故只需改变Rf的值便可实现不同的增益。

考虑到输入阻抗不得小于100kΩ,且由虚短虚断的概念容易得运放的输入阻抗即为R1,故选择R1=100kΩ,Rf为1MΩ、100kΩ、10kΩ。

2、由于要实现增益自动切换,故必须将输入电压与参考电压0.5V和5V相比较,得出输入信号的范围,进而选择其放大倍数。

3、将比较器得出的结果,通过一个模拟开关(4052)可以非常方便的选出所需的Rf,从而选择出所需的增益,即实现了增益自切换。

提高要求:

1、基本思路与基本要求相同,关键在于如何提取出输入交流信号的峰峰值。

2、得到交流信号的峰峰值或峰值有两种办法:

一为峰值检波电路:

即用一个二极管与一个电容。

但是考虑到二极管有导通压降,故采用此方法,误差较大。

另一种方法为先通过精密整流,接着通过滤波,虽然此方法更加麻烦,但是效果好,精度高,故采用此方法。

3、增益显示两种方法均采用,对于led灯的显示,由于4052开关包含两个四选一开关,故一个四选一用来选择Rf而另一个则可以用来实现增益的led显示。

而数码管的显示,则可以用FPGA来实现,将比较器比较所得的结果通过一个译码电路,即可方便的译出放大倍数(注:

数码管显示1代表放大0.1倍,2代表1倍,3代表10倍)

4、电路结构框图(请将基本要求、提高要求、创新要求分别画出):

基本要求:

提高要求:

5、电路原理图(各单元电路结构、工作原理、参数计算和元器件选择说明):

比较电路:

说明如下:

1、Lm311为专用集成比较器,工作时需接一上拉电阻,当输入端U+>U-时,输出为所接的上拉电压,当输入端U+

而上拉电阻不能选择过大,因为,Uo=15(上拉电压)-I0*R,虽然I很小,但是若R过大,则很容易导致输出电压远小于15V,不属于高电压,故在这选择2kΩ。

2、参考电压通过一个分压电路将15V分出所需的5V和0.5V,首先调节滑动变阻器得到5V电压,接着通过一个9V和1V的电阻便可以得到0.5V电压。

注:

由于multisim中无4052故仿真时采用的是4066,这样就必须通过一些与非门来实现Rf的选择。

增益电路:

说明如下:

由于模拟开关4066的工作原理与4052不同,故正如前所述必须将比较器所得结果通过一些与非门才能实现Rf的选择。

至于电阻参数的选择,在电路设计部分已经提及:

由于输入阻抗不得小于100kΩ,且由虚短虚断的概念容易得运放的输入阻抗即为R1,故选择R1=100kΩ,Rf为1MΩ、100kΩ、10kΩ。

同时由于采用的反相比例放大,故对于输出结果必须同过一个反相器才能得到真正结果。

精密整流及滤波电路:

一、当无电容C3,且R4为20kΩ时:

1、若Ui为正时,则D3断路,D1导通,Uo1=-Ui,时,U0=-2Uo1+(-Ui)=Ui。

故Ui>0,Uo=Ui。

2、若Ui为负,则D3导通,Uo1=0,则此时Uo=-Ui。

故实现了精密整流。

二、当接上电容后,可实现滤波,但是由傅里叶级数展开可知,滤出的直流分量为峰值的2/π,故为了得到峰峰值(即两倍的峰值),需提高R4的大小,故R4=20*π=62.8kΩ(通过调节实验箱的滑动变阻器得到)。

增益显示:

由于增益显示部分无法用multism仿真,尽在此处以文字作简要说明:

Led显示:

由于实际电路采用4052,而4052中有两个四选一开关,故可采用与选择Rf一样的方法选择不同颜色的led灯亮,区别仅在于一端采用输入5V电压,另外三端接led灯。

数码管显示(FPGA译码电路VHDL程序如下):

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_arith.all;

useieee.std_logic_signed.all;

useieee.std_logic_unsigned.all;

entitydecodeis

port(data_in:

instd_logic_vector(2downto0);

output:

outstd_logic_vector(1downto0));

enddecode;

architectureaofdecodeis

begin

process(data_in)

begin

ifdata_in="001"then

output<="11";

elsifdata_in="010"then

output<="10";

elsifdata_in="100"then

output<="01";

elseoutput<="00";

endif;

endprocess;

endarchitecturea;

6、列出系统需要的元器件清单(请设计表格列出,提高要求、创新要求多用到的器件请注明):

元件

数量

元件

数量

电阻100K

10

模拟开关4052

1

电阻10K

10

检波二极管1N4148(提高)

2

电阻1M

1

比较器LM311

2

电阻2K

2

集成运放LM324

1

1uF电容(提高)

1

导线

若干

7、电路的仿真结果(请将基本要求、提高要求、创新要求中的仿真结果分别列出):

基本要求:

A、当输入为0.1V时:

从仿真波形看出,当输入为0.1V时,输出为0.96V,增益≈10,基本达到要求

B、当输入为0.5V时:

从波形可知,当输入为0.5V时输出为4.965V,增益≈10,达到要求

C、当输入为0.51V时:

从波形可知,当输入为0.51V时,输出为0.507V,增益≈1,达到要求,通过B和C可知,在跳变点0.5V的地方输出误差较小。

D、当输入为4.9V时:

从波形可知,当输入为4.9V时,输出为4.912V,增益≈1,达到要求

E、当输入为5.1V时:

从波形可知,当输入为5.1V时,输出为0.52V,增益≈0.1,达到要求,且通过观察D和E可知在跳变点5V的地方,误差也较小。

F、当输入为10V时:

从波形可知,当输入为10V时,输出为1.03V,增益≈0.1,达到要求

综合分析:

当输入为直流电压时,通过仿真可知,该电路能够根据其电压大小,选择放大的倍数实现输出,即当Ui为0.1~0.5V时,增益为10倍;当Ui为0.5~5V时,增益为1倍;当Ui为5~10V时,增益为0.1倍。

输出范围为0.5~5V。

提高要求:

A、当输入信号峰值为5V(峰峰值为10V)时:

精密整流加滤波结果:

从波形可知,当输入峰峰值为10V时,精密整流加滤波后的直流信号为9.8V,与输入峰峰值基本接近。

输出波形:

从波形可知,当输入峰值为5V(峰峰值为V)时,输出峰值为0.51V,增益≈0.1,达到要求

B、当输入信号峰值为2.6V(峰峰值为5.2V)时:

精密整流加滤波后波形:

从波形可知,当输入峰峰值为5.2V时,精密整流加滤波后的直流信号为5.1V,与输入峰峰值基本接近。

此时输出波形:

从波形可知,当输入峰值为2.6V(峰峰值为5.2V)时,输出峰值为2.5V,增益≈0.1,达到要求。

C、当输入信号峰值为2.4V(峰峰值为4.8V)时:

精密整流加滤波:

从波形可知,当输入峰峰值为4.8V时,精密整流加滤波后的直流信号为4.7V,与输入峰峰值基本接近。

此时输出波形:

从波形可知,当输入峰值为2.4V(峰峰值为4.8V)时,输出峰值为2.38V,增益≈1,达到要求。

通过观察B和C可知在跳变峰峰值为5V附近,仿真基本符合要求。

D、当输入信号峰值为0.3V(峰峰值为0.6V)时:

精密整流加滤波后波形:

从波形可知,当输入峰峰值为0.6V时,精密整流加滤波后的直流信号为0.57V,与输入峰峰值基本接近。

此时输出波形:

从波形可知,当输入峰值为0.3V(峰峰值为0.6V)时,输出峰值为0.3V,增益≈1,达到要求。

E、当输入信号峰值为0.2V(峰峰值为0.4V)时:

此时输出波形:

从波形可知,当输入峰值为0.2V(峰峰值为0.4V)时,输出峰值为1.9V,增益≈10,达到要求。

通过观察D和E可知在跳变峰峰值为0.5V附近,仿真基本符合要求。

F、当输入信号峰值为0.05V(峰峰值为0.1V)时:

此时输出波形:

从波形可知,当输入峰值为0.05V(峰峰值为0.1V)时,输出峰值为0.45V,增益≈10,达到要求。

综上:

该电路对于交流信号,也基本达到了实验要求。

三、硬件电路功能与指标,测试数据与误差分析

(1)硬件实物图(照片形式):

(2)

(3)制定实验测量方案:

将输入信号、输出信号分别接入示波器CH1、CH2通道。

直流信号通过实验箱上滑动变阻器分压获得,通过调节滑动变阻器输入0.1V~10V信号;主要观察0.1V,0.5V(跳变点)附近,5V(跳变点)附近以及10V的输入输出电压情况。

交流信号来自函数信号发生器,设定频率为10KHz,幅宽通过旋动

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 幼儿教育 > 家庭教育

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1