通信原理课设实验报告.docx

上传人:b****8 文档编号:29284926 上传时间:2023-07-21 格式:DOCX 页数:19 大小:463.78KB
下载 相关 举报
通信原理课设实验报告.docx_第1页
第1页 / 共19页
通信原理课设实验报告.docx_第2页
第2页 / 共19页
通信原理课设实验报告.docx_第3页
第3页 / 共19页
通信原理课设实验报告.docx_第4页
第4页 / 共19页
通信原理课设实验报告.docx_第5页
第5页 / 共19页
点击查看更多>>
下载资源
资源描述

通信原理课设实验报告.docx

《通信原理课设实验报告.docx》由会员分享,可在线阅读,更多相关《通信原理课设实验报告.docx(19页珍藏版)》请在冰豆网上搜索。

通信原理课设实验报告.docx

通信原理课设实验报告

学号

成绩

2PK、2DPSK数字信号频带传输系统的设计与建模

课设名称

通信系统原理课程设计

学院

信息工程学院

指导教师姓名

学生姓名

学生专业班级

2015-2016学年第一学期

 

课程设计任务书

学生姓名:

专业班级:

指导教师:

苏杨学院:

信息工程学院

题目:

2PSK、2DPSK数字信号频带传输系统的设计与建模

初始条件:

(1)用QuartusII、ISE等软件进行仿真设计

(2)课程设计辅导书:

《通信系统原理》

要求完成的主要任务:

(1)掌握2CPSK、2DPSK的调制与解调原理;

(2)掌握仿真软件QuartusII的使用方法;

(3)完成对2PSK、2DPSK的调制与解调仿真电路设计,并对仿真结果进行分析。

时间安排:

(1)2015年12月22日--2015年12月30日理论设计、仿真设计

地点:

鉴主14楼通信工程综合实验室。

(2)2015年12月31日进行理论答辩。

 

指导教师签名:

2015年12月31日

 

摘要

数字调制与解调技术在通信领域中发挥着重大作用。

为了使数字信号在带通信道中传输,必须使用数字基带信号对载波进行调制,以使信号与信道的特性匹配,再在接收端通过解调恢复出原始数字信号,实现数字信息的传递。

相移键控(PSK)就是数字信号调制的一种有用并且广泛使用的方式。

本次通信课程设计,我对2CPSK、2DPSK的调制与解调原理进行了深入的了解和研究;利用仿真软件QuartusII,对2PSK、2DPSK进行调制与解调的设计和仿真,并对仿真结果进行了分析。

关键词:

PSK;DPSK;QuartusII;仿真

1.绪论

数字调制技术是现代通信的一个重要内容,在数字通信系统中,由于数字信号具有丰富的低频成份,不宜进行无线传输或长距离电缆传输,因而需要将基带信号进行数字调制(DigitalModulation)。

数字调制同时也是数字信号频分复用的基本技术。

数字调制与模拟调制都属于正弦波调制,但是,数字调制是调制信号为数字型的正弦波调制,因而数字调制具有自身的特点,一般说来数字调制技术分为两种类型:

一是把数字基带信号当作模拟信号的特殊情况来处理;二是利用数字信号的离散取值去键控载波,从而实现数字调制。

后一种方法通常称为键控法。

例如可以对载波的振幅、频率及相位进行键控,便可获得振幅键控(ASK)、移频键控(FSK)、相移键控(PSK)等调制方式。

移频键控(FSK)是数字信息传输中使用较早的一种调制形式,它由于其抗干扰及衰落性较好且技术容易实现,因而在集散式工业控制系统中被广泛采用。

以往的键控移频调制解调器采用“定功能集成电路+连线”式设计;集成块多,连线复杂,容易出错,且体积较大,本设计采用Lattice公司的FPGA芯片,有效地缩小了系统的体积,降低了成本,增加了可靠性,同时系统采用VHDL语言进行设计,具有良好的可移植性及产品升级的系统性。

2.2PSK信号的调制的与解调

2.12psk调制与解调的原理

移相键控(PhaseShiftKeying,PSK)是一种调相方式

在2PSK中,通常用初始相位0和分别表示二进制“1”和“0”。

因此,2PSK信号的时域表达式为:

式中,n表示第n个符号的绝对相位:

因此,上式可以改写为:

由于两种码元的波形相同,极性相反,故2PSK信号可以表述为一个双极性全占空矩形脉冲序列与一个正弦载波的相乘:

式中:

这里,g(t)是脉宽为Ts的单个矩形脉冲,而an的统计特性为:

即发送二进制符号“1”时(an取+1),S2PSK(t)取0相位;发送二进制符号“0”时(an取-1),S2PSK(t)取相位。

这种以载波的不同相位直接去表示相应二进制数字信号的调制方式,称为二进制绝对相移方式。

2.22psk信号的调制

(1)数字键控法

 

实验原理

二进制相移键控中,载波的振幅和频率都是不变的,只有载波的相位随基带脉冲的变化而取相应的离散值。

通常用相位0°和180°来分别表示1或0.这种PSK波形在抗噪声性能方面比ASK和FSK都好,而且频带利用率也高,所以在中高速数传中得到广泛的应用。

(2)模拟调制的方法

 

2.32psk信号的解调

2psk信号的解调:

2PSK只能采用相干解调,因为发”0”或发”1”时,其采用相位变化携带信息。

具体地说:

其振幅不变(无法提取不同的包络);

频率也不变(无法用滤波器分开)。

3.2DPSK信号的调制与解调

3.12dpsk调制与解调的原理

差分相移键控(DifferentialPhaseShiftKeying,DPSK)是一种最常用的相对调相方式,采用非相干的相移键控形式。

它不需要在接收机端有相干参考信号,而且非相干接收机容易实现,价格便宜,因此在无线通信系统中广泛使用。

差分相移键控(DPSK)是利用相邻二个码元的载波信号初始相位的相对变化来表示所传输的码元。

所谓相位变化,又有向量差和相位差两种定义方法。

向量差是指前一码元的终相位与本码元初相位比较,是否发生了相位的变化,而相位差是值前后两码元的初相位是否发生了变化。

按向量差和相位差画出的DPSK波形是不同的。

但是绝对移相波形规律比较简单,而相对移相波形规律比较复杂。

当有加性高斯白噪声时,平均错误概率如下所示为

3.22spsk信号的调制

(1)相对移相信号(DPSK)的产生

相对移相信号(DPSK)是通过码变换加CPSK调制产生,其产生原理如下图所示。

这种方法是把原基带信号经过绝对码—相对码变换后,用相对码进行CPSK调制,其输出便是DPSK信号,即相对调相可以用绝对码一相对码变换加上绝对调相来实现。

 

图3.2DPSK信号产生电路图

(2)绝对码—相对码(差分编码)

若假设绝对调相按“1”码同相,“0”码π相的规律调制;而相对调相按“1”码相位变化(移相π),“0”码相位不变规律调制。

按此规定,绝对码记为aK,相对码记为bK,绝对码一相对码变换电路如下图所示

图3.3绝对码一相对码变换电路图

绝对码一相对码之间的关系为

根据上述关系,绝对码与相对码(差分码)可以相互转换。

图3.4图(a)(b)分别为绝对码变为相对码的电路及波形

图3.5图(a),(b)分别为相对码变为绝对码的电路及波形

图3.6产生DPSK信号电路框图

DPSK信号的产生,先需将绝对码变换为相对码,然后用相对码对载波进行绝对调相,即可得到相对码调相(DPSK)信号。

 

3.32dpsk信号的解调

DPSK信号的解调方法有两种:

(1)极性比较法(又称同步解调或相干解调)

(2)相位比较法(是一种非相干解调)。

1、极性比较法(相干解调)

在极性比较法电路中,输入的DPSK信号经带通后加到乘法器,乘法器将输入信号与载波极性比较。

经极性比较法电路解调,还原的是相对码。

要得到原基带信号,必须经相对码一绝对码变换器,由相对码还原成绝对码,得到原绝对码基带信号。

DPSK解调器由三部分组成,乘法器和载波提取电路实际上就是相干检测器。

后面的相对码(差分码)—绝对码的变换电路,即相对码(差分码)译码器,其余部分完成低通判决任务。

当输入为“1”码时,Ucpsk(t)=Uask(t)=Acos(2Πfct),因此CPSK解调的情况完全与ASK解调相同,此时低通输出:

X(t)=a+nc(t)当输入为“0”码时,Ucpsk(t)=Acos(2Πfct+Π)=-Acos(2Πfct),此时与ASK情况不同。

由于Acos(2Πfct)=-Acos(2Πfct),则x(t)=-A+nc(t)。

 

2、相位比较法

DPSK相位比较法解调器原理框图及其相应的波形图如下图示。

其基本原理是将接收到的前后码元所对应的调相波进行相位比较,它是以前一码元的载波相位作为后一码元的参考相位,所以称为相位比较法或称为差分检测法。

该电路与极性比较法不同之处在于乘法器中与信号相乘的不是载波,而是前一码元的信号,该信号相位随机且有噪声,它的性能低于极性比较法的性能。

输入的uDPSK信号一路直接加到乘法器,另一路经延迟线延迟一个码元的时间TB后,加到乘法器作为相干载波。

若不考虑噪声影响,设前一码元载波的相位为

,后一码元载波的相位为

,则乘法器的输出为

经低通滤波器滤除高频项,输出为

式中

,是前后码元对应的载波相位差。

由调相关系知

则取样判决器的判决规则为

可直接解调出原绝对码基带信号。

4.2PSK、2DPSK调制电路的VHDL建模

4.12psk调制电路的vhdl建模

PSK(又称CPSK)调制方框图和PSK调制电路符号如图7-1和图7-2所示,PSK调制器模型主要由计数器和二选一开关等组成。

计数器对外部时钟信号进行分频与计数,并输出两路相位相反的数字载波信号;二选一开关的功能是:

在基带信号的控制下,对两路载波信号进行选通,输出的信号即为PSK信号。

图中没有包含模拟电路部分,输出信号为数字信号。

图4-1PSK调制方框图

图4-2PSK调制电路的VHDL建模符号

PSK解调电路的VHDL建模

PSK解调器的建模方框图和解调电路的VHDL建模符号如图7-3和图7-4所示。

图中的计数器q输出与发端同步的0相数字载波。

判决器的工作原理是:

把计数器输出的0相载波与数字PSK信号中的载波进行逻辑“与”运算,当两比较信号在判决时刻都为“1”时,输出为“l”,否则输出为“0”,以实现解调的目的。

图中没有包含模拟电路部分,调制信号为数字信号。

图4-3PSK解调器的建模方框图

图4-4PSK解调电路的VHDL建模符号

图4-5PSK总电路的VHDL建模设计图

4.22dpsk调制电路的vhdl建模

DPSK解调采用PSK解调加相对码到绝对码即可实现。

相对码到绝对码的转换方框图和电路建模符号如下,相/绝变换过程都是以计数器输出信号为时钟的控制下完成的。

图4-5相对码到绝对码的转换方框图

图4-6相对码到绝对码转换电路的建模符号

图4-7DPSK总电路的VHDL建模设计图

5.2PSK、2DPSK调制电路波形仿真

5.12psk调制电路波形的仿真

5.22dpsk调制与解调电路波形的仿真

6.心得体会

这次的课程设计让我收获良多,将以前所学的通信原理知识和QuartusII综合起来运用,并且应用的如此实际。

这次我的设计主要是倾向于软件方面的,学会编写和设计VHDL程序,然后在QuartusII软件上进行仿真。

这次设计的一些总结如下:

(1)完成了PSK通信系统的VHDL程序设计,并在此基础上作了一系列的分析对比;

(2)学习了QuartusII的基本知识,从编程的角度出发完成了利用QuartusII器件进行理论设计,并进行了仿真。

(3)进一步加深了对通信系统原理理论课程学习的理解。

通过这次课程设计,我加强了自己掌握和理解书本知识的能力,培养了自己的实际动手能力与综合设计能力,并提高了自己的技术素质。

7.参考文献

[1]王虹等.通信系统原理[M].国防工业出版社:

2014,8.

[2]田维新等.基于FPGA的PSK解调器的设计与实现[J].微计算机信息,2010,6-5:

[3]孙学军、王秉钧.通信原理[M].电子工业出版社:

2001,2. 

程序代码附表

1、2PSK调制电路的程序代码

libraryieee;

useieee.std_logic_arith.all;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityPSK1is

port(clk:

instd_logic;--系统时钟

start:

instd_logic;--开始调制信号

x:

instd_logic;--基带信号

y:

outstd_logic;

f1:

outstd_logic;

f2:

outstd_logic

);--已调制输出信号

endPSK1;

architecturebehavofPSK1is

signalq:

std_logic_vector(1downto0);--2位计数器

signalf11,f22:

std_logic;--载波信号

begin

process(clk)--此进程主要是产生两重载波信号f1,f2

begin

ifclk'eventandclk='1'then

ifstart='0'thenq<="00";

elsifq<="01"thenf11<='1';f22<='0';q<=q+1;

elsifq="11"thenf11<='0';f22<='1';q<="00";

elsef11<='0';f22<='1';q<=q+1;

endif;

endif;

endprocess;

process(clk,x)--此进程完成对基带信号x的调制

begin

ifclk'eventandclk='1'then

ifq(0)='1'then

ifx='1'theny<=f11;--基带信号x为‘1’时,输出信号y为f1

elsey<=f22;--基带信号x为‘0’时,输出信号y为f2

endif;

endif;

endif;

endprocess;

f1<=f11;

f2<=f22;

endbehav;

2、2PSK解调电路的程序代码

libraryieee;

useieee.std_logic_arith.all;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityPSK2is

port(clk:

instd_logic;--系统时钟

start:

instd_logic;--同步信号

x:

instd_logic;--调制信号

y:

outstd_logic;

q1:

outintegerrange0to3);--基带信号

endPSK2;

architecturebehavofPSK2is

signalq:

integerrange0to3;

begin

process(clk)--此进程完成对CPSK调制信号的解调

begin

ifclk'eventandclk='1'then

ifstart='0'thenq<=0;

elsifq=0thenq<=q+1;--在q=0时,根据输入信号x的电平来进行判决

ifx='1'theny<='1';

elsey<='0';

endif;

elsifq=3thenq<=0;

elseq<=q+1;

endif;

endif;

endprocess;

q1<=q;

endbehav;

3、2DPSK调制电路的程序代码

libraryieee;

useieee.std_logic_arith.all;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityDPSK1is

port(clk:

instd_logic;--系统时钟

start:

instd_logic;--开始转换信号

x:

instd_logic;--绝对码输入信号

y:

outstd_logic;

q1:

outintegerrange0to3

);--相对码输出信号

endDPSK1;

architecturebehavofDPSK1is

signalq:

integerrange0to3;--分频器

signalxx:

std_logic;--中间寄存信号

begin

process(clk,x)--此进程完成绝对码到相对码的转换

begin

ifclk'eventandclk='1'then

ifstart='0'thenq<=0;xx<='0';

elsifq=0thenq<=1;xx<=xxxorx;y<=xxxorx;--输入信号与前一个输出信号进行异或

elsifq=3thenq<=0;

elseq<=q+1;

endif;

endif;

endprocess;

q1<=q;

endbehav;

4、2DPSK调制电路的程序代码

libraryieee;

useieee.std_logic_arith.all;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityDPSK2is

port(clk:

instd_logic;--系统时钟

start:

instd_logic;--开始转换信号

x:

instd_logic;--相对码输入信号

y:

outstd_logic;

q2:

outintegerrange0to3);--绝对码输出信号

endDPSK2;

architecturebehavofDPSK2is

signalq:

integerrange0to3;--分频

signalxx:

std_logic;--寄存相对码

begin

process(clk,x)--此进程完成相对码到绝对码的转换

begin

ifclk'eventandclk='1'then

ifstart='0'thenq<=0;

elsifq=0thenq<=1;

elsifq=3thenq<=0;y<=xxxorx;xx<=x;--输入信号x与前一输入信号xx进行异或

elseq<=q+1;

endif;

endif;

endprocess;

q2<=q;

endbehav;

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 工程科技 > 信息与通信

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1