ImageVerifierCode 换一换
格式:DOCX , 页数:19 ,大小:463.78KB ,
资源ID:29284926      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/29284926.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(通信原理课设实验报告.docx)为本站会员(b****8)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

通信原理课设实验报告.docx

1、通信原理课设实验报告学 号成 绩2PK、2DPSK数字信号频带传输系统的设计与建模课 设 名 称通信系统原理课程设计 学 院信息工程学院指导教师姓名学 生 姓 名学生专业班级2015-2016 学年 第 一 学期课程设计任务书学生姓名: 专业班级: 指导教师: 苏杨 学院: 信息工程学院 题 目: 2PSK、2DPSK数字信号频带传输系统的设计与建模初始条件:(1)用Quartus II、ISE等软件进行仿真设计(2)课程设计辅导书:通信系统原理要求完成的主要任务: (1)掌握2CPSK、2DPSK的调制与解调原理; (2)掌握仿真软件Quartus II的使用方法; (3)完成对2PSK、2

2、DPSK的调制与解调仿真电路设计,并对仿真结果进行分析。时间安排:(1)2015 年12月22日-2015 年12月30日理论设计、仿真设计 地点:鉴主14楼通信工程综合实验室。(2)2015 年12 月31 日进行理论答辩。指导教师签名: 2015 年12月31 日 摘要数字调制与解调技术在通信领域中发挥着重大作用。为了使数字信号在带通信道中传输,必须使用数字基带信号对载波进行调制,以使信号与信道的特性匹配,再在接收端通过解调恢复出原始数字信号,实现数字信息的传递。相移键控(PSK)就是数字信号调制的一种有用并且广泛使用的方式。本次通信课程设计,我对2CPSK、2DPSK的调制与解调原理进行

3、了深入的了解和研究;利用仿真软件Quartus II,对2PSK、2DPSK进行调制与解调的设计和仿真,并对仿真结果进行了分析。关键词:PSK ;DPSK;Quartus II;仿真1. 绪论数字调制技术是现代通信的一个重要内容,在数字通信系统中,由于数字信号具有丰富的低频成份,不宜进行无线传输或长距离电缆传输,因而需要将基带信号进行数字调制(Digital Modulation)。数字调制同时也是数字信号频分复用的基本技术。数字调制与模拟调制都属于正弦波调制,但是,数字调制是调制信号为数字型的正弦波调制,因而数字调制具有自身的特点,一般说来数字调制技术分为两种类型:一是把数字基带信号当作模拟

4、信号的特殊情况来处理;二是利用数字信号的离散取值去键控载波,从而实现数字调制。后一种方法通常称为键控法。例如可以对载波的振幅、频率及相位进行键控,便可获得振幅键控(ASK)、移频键控(FSK)、相移键控(PSK)等调制方式。移频键控(FSK)是数字信息传输中使用较早的一种调制形式,它由于其抗干扰及衰落性较好且技术容易实现,因而在集散式工业控制系统中被广泛采用。以往的键控移频调制解调器采用“定功能集成电路+连线”式设计;集成块多,连线复杂,容易出错,且体积较大,本设计采用Lattice公司的FPGA芯片,有效地缩小了系统的体积,降低了成本,增加了可靠性,同时系统采用VHDL语言进行设计,具有良好

5、的可移植性及产品升级的系统性。2. 2PSK信号的调制的与解调2.1 2psk调制与解调的原理移相键控(Phase Shift Keying,PSK)是一种调相方式在2PSK中,通常用初始相位0和分别表示二进制“1”和“0”。因此,2PSK信号的时域表达式为: 式中,n表示第n个符号的绝对相位:因此,上式可以改写为:由于两种码元的波形相同,极性相反,故2PSK信号可以表述为一个双极性全占空矩形脉冲序列与一个正弦载波的相乘:式中: 这里,g(t)是脉宽为Ts的单个矩形脉冲,而an的统计特性为:即发送二进制符号“1”时(an取+1),S2PSK(t)取0相位;发送二进制符号“0”时( an取 -1

6、), S2PSK(t)取相位。这种以载波的不同相位直接去表示相应二进制数字信号的调制方式,称为二进制绝对相移方式。2.2 2psk信号的调制(1)数字键控法实验原理二进制相移键控中,载波的振幅和频率都是不变的,只有载波的相位随基带脉冲的变化而取相应的离散值。通常用相位0和180来分别表示1或0.这种PSK波形在抗噪声性能方面比ASK和FSK都好,而且频带利用率也高,所以在中高速数传中得到广泛的应用。(2)模拟调制的方法 2.3 2psk信号的解调 2 psk信号的解调:2PSK只能采用相干解调,因为发”0”或发”1”时,其采用相位变化携带信息。具体地说:其振幅不变(无法提取不同的包络);频率也

7、不变(无法用滤波器分开)。32DPSK信号的调制与解调3.1 2dpsk调制与解调的原理差分相移键控(Differential Phase Shift Keying,DPSK)是一种最常用的相对调相方式,采用非相干的相移键控形式。它不需要在接收机端有相干参考信号,而且非相干接收机容易实现,价格便宜,因此在无线通信系统中广泛使用。 差分相移键控(DPSK)是利用相邻二个码元的载波信号初始相位的相对变化来表示所传输的码元。所谓相位变化,又有向量差和相位差两种定义方法。向量差是指前一码元的终相位与本码元初相位比较,是否发生了相位的变化,而相位差是值前后两码元的初相位是否发生了变化。按向量差和相位差画

8、出的DPSK波形是不同的。但是绝对移相波形规律比较简单,而相对移相波形规律比较复杂。当有加性高斯白噪声时,平均错误概率如下所示为3.2 2spsk信号的调制(1)相对移相信号(DPSK)的产生相对移相信号(DPSK)是通过码变换加 CPSK调制产生,其产生原理如下图所示。这种方法是把原基带信号经过绝对码相对码变换后,用相对码进行CPSK调制,其输出便是DPSK信号,即相对调相可以用绝对码一相对码变换加上绝对调相来实现。 图3.2 DPSK信号产生电路图(2)绝对码相对码(差分编码)若假设绝对调相按“1”码同相,“0”码相的规律调制;而相对调相按“1”码相位变化(移相),“0”码相位不变规律调制

9、。按此规定,绝对码记为aK,相对码记为bK,绝对码一相对码变换电路如下图所示图3.3 绝对码一相对码变换电路图绝对码一相对码之间的关系为根据上述关系,绝对码与相对码(差分码)可以相互转换。图3.4 图(a)(b)分别为绝对码变为相对码的电路及波形图3.5 图(a),(b)分别为相对码变为绝对码的电路及波形图3.6 产生DPSK信号电路框图DPSK信号的产生,先需将绝对码变换为相对码,然后用相对码对载波进行绝对调相,即可得到相对码调相(DPSK)信号。3.3 2dpsk信号的解调DPSK信号的解调方法有两种:(1)极性比较法(又称同步解调或相干解调)(2)相位比较法(是一种非相干解调)。1、极性

10、比较法(相干解调)在极性比较法电路中,输入的DPSK信号经带通后加到乘法器,乘法器将输入信号与载波极性比较。经极性比较法电路解调,还原的是相对码。要得到原基带信号,必须经相对码一绝对码变换器,由相对码还原成绝对码,得到原绝对码基带信号。 DPSK解调器由三部分组成,乘法器和载波提取电路实际上就是相干检测器。后面的相对码(差分码)绝对码的变换电路,即相对码(差分码)译码器,其余部分完成低通判决任务。当输入为“1”码时,Ucpsk(t)=Uask(t)=Acos(2fct),因此CPSK解调的情况完全与ASK解调相同,此时低通输出:X(t)=a+nc(t) 当输入为“0”码时,Ucpsk(t) =

11、Acos(2fct+)=- Acos(2fct),此时与ASK情况不同。由于Acos(2fct)= - Acos(2fct),则x(t)=-A+nc(t)。2、相位比较法DPSK相位比较法解调器原理框图及其相应的波形图如下图示。其基本原理是将接收到的前后码元所对应的调相波进行相位比较,它是以前一码元的载波相位作为后一码元的参考相位,所以称为相位比较法或称为差分检测法。该电路与极性比较法不同之处在于乘法器中与信号相乘的不是载波,而是前一码元的信号,该信号相位随机且有噪声,它的性能低于极性比较法的性能。 输入的uDPSK信号一路直接加到乘法器,另一路经延迟线延迟一个码元的时间TB后,加到乘法器作为

12、相干载波。若不考虑噪声影响,设前一码元载波的相位为,后一码元载波的相位为,则乘法器的输出为经低通滤波器滤除高频项,输出为式中 ,是前后码元对应的载波相位差。由调相关系知则取样判决器的判决规则为可直接解调出原绝对码基带信号。 4 . 2PSK、2DPSK调制电路的VHDL建模4.1 2psk调制电路的vhdl建模PSK(又称 CPSK)调制方框图和 PSK 调制电路符号如图 7-1 和图 7-2 所示, PSK 调制器模型主要由计数器和二选一开关等组成。计数器对外部时钟信号进行分频与计数,并输出两路相位相反的数字载波信号;二选一开关的功能是:在基带信号的控制下,对两路载波信号进行选通,输出的信号

13、即为 PSK 信号。图中没有包含模拟电路部分,输出信号为数字信号。 图 4-1 PSK 调制方框图 图 4-2 PSK 调制电路的 VHDL 建模符号 PSK 解调电路的 VHDL 建模 PSK 解调器的建模方框图和解调电路的 VHDL 建模符号如图 7-3 和图 7-4 所示。图中的计数器 q 输出与发端同步的 0 相数字载波。判决器的工作原理是:把计数器输出的 0 相载波与数字 PSK 信号中的载波进行逻辑“与”运算,当两比较信号在判决时刻都为“1”时,输出为“l”,否则输出为“0”,以实现解调的目的。图中没有包含模拟电路部分,调制信号为数字信号。 图 4-3 PSK 解调器的建模方框图

14、图 4-4 PSK 解调电路的 VHDL 建模符号 图 4-5 PSK 总电路的 VHDL 建模设计图4.2 2dpsk调制电路的vhdl建模DPSK 解调采用 PSK 解调加相对码到绝对码即可实现。相对码到绝对码的转换方框图和电路建模符号如下,相/绝变换过程都是以计数器输出信号为时钟的控制下完成的。 图 4-5 相对码到绝对码的转换方框图 图 4-6 相对码到绝对码转换电路的建模符号 图 4-7 DPSK 总电路的 VHDL 建模设计图5. 2PSK、2DPSK调制电路波形仿真5.1 2psk调制电路波形的仿真 5.2 2dpsk调制与解调电路波形的仿真6.心得体会这次的课程设计让我收获良多

15、,将以前所学的通信原理知识和Quartus II综合起来运用,并且应用的如此实际。这次我的设计主要是倾向于软件方面的,学会编写和设计VHDL程序,然后在Quartus II软件上进行仿真。这次设计的一些总结如下: (1) 完成了PSK通信系统的VHDL程序设计,并在此基础上作了一系列的分析对比;(2) 学习了Quartus II的基本知识,从编程的角度出发完成了利用Quartus II器件进行理论设计,并进行了仿真。 (3) 进一步加深了对通信系统原理理论课程学习的理解。通过这次课程设计,我加强了自己掌握和理解书本知识的能力,培养了自己的实际动手能力与综合设计能力,并提高了自己的技术素质。7.

16、参考文献1王虹等.通信系统原理M.国防工业出版社:2014,8.2田维新等.基于FPGA 的PSK 解调器的设计与实现J.微计算机信息,2010,6-5:3孙学军、王秉钧.通信原理M.电子工业出版社:2001,2.程序代码附表1、2PSK调制电路的程序代码library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity PSK1 isport(clk :in std_logic; -系统时钟 start :in std_logic; -开始调制

17、信号 x :in std_logic; -基带信号 y :out std_logic; f1 :out std_logic; f2 :out std_logic ); -已调制输出信号end PSK1;architecture behav of PSK1 issignal q:std_logic_vector(1 downto 0); -2位计数器signal f11,f22:std_logic; -载波信号beginprocess(clk) -此进程主要是产生两重载波信号f1,f2beginif clkevent and clk=1 then if start=0 then q=00; el

18、sif q=01 then f11=1;f22=0;q=q+1; elsif q=11 then f11=0;f22=1;q=00; else f11=0;f22=1;q=q+1; end if; end if; end process;process(clk,x) -此进程完成对基带信号x的调制 beginif clkevent and clk=1 then if q(0)=1 then if x=1 then y=f11; -基带信号x为1时,输出信号y为f1 else y=f22; -基带信号x为0时,输出信号y为f2 end if; end if;end if;end process;

19、 f1=f11; f2=f22;end behav;2、2PSK解调电路的程序代码library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity PSK2 isport(clk :in std_logic; -系统时钟 start :in std_logic; -同步信号 x :in std_logic; -调制信号 y :out std_logic; q1 :out integer range 0 to 3); -基带信号end PSK2;

20、architecture behav of PSK2 issignal q:integer range 0 to 3; beginprocess(clk) -此进程完成对CPSK调制信号的解调beginif clkevent and clk=1 then if start=0 then q=0; elsif q=0 then q=q+1; -在q=0时,根据输入信号x的电平来进行判决 if x=1 then y=1; else y=0; end if; elsif q=3 then q=0; else q=q+1; end if;end if;end process;q1=q;end beha

21、v;3、2DPSK调制电路的程序代码library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity DPSK1 isport(clk :in std_logic; -系统时钟 start :in std_logic; -开始转换信号 x :in std_logic; -绝对码输入信号 y :out std_logic; q1 :out integer range 0 to 3 ); -相对码输出信号end DPSK1;architecture

22、 behav of DPSK1 issignal q:integer range 0 to 3; -分频器signal xx:std_logic; -中间寄存信号 beginprocess(clk,x) -此进程完成绝对码到相对码的转换beginif clkevent and clk=1 then if start=0 then q=0; xx=0; elsif q=0 then q=1; xx=xx xor x;y=xx xor x; -输入信号与前一个输出信号进行异或 elsif q=3 then q=0; else q=q+1; end if;end if;end process; q1

23、=q;end behav;4、2DPSK调制电路的程序代码library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity DPSK2 isport(clk :in std_logic; -系统时钟 start :in std_logic; -开始转换信号 x :in std_logic; -相对码输入信号 y :out std_logic; q2 :out integer range 0 to 3); -绝对码输出信号end DPSK2;ar

24、chitecture behav of DPSK2 issignal q:integer range 0 to 3; -分频signal xx:std_logic; -寄存相对码beginprocess(clk,x) -此进程完成相对码到绝对码的转换beginif clkevent and clk=1 then if start=0 then q=0; elsif q=0 then q=1; elsif q=3 then q=0; y=xx xor x; xx=x; -输入信号x与前一输入信号xx进行异或 else q=q+1; end if;end if;end process;q2=q;end behav;

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1