VHDL语言设计数字系统的外文翻译教学内容.docx

上传人:b****4 文档编号:24798470 上传时间:2023-06-01 格式:DOCX 页数:19 大小:48.33KB
下载 相关 举报
VHDL语言设计数字系统的外文翻译教学内容.docx_第1页
第1页 / 共19页
VHDL语言设计数字系统的外文翻译教学内容.docx_第2页
第2页 / 共19页
VHDL语言设计数字系统的外文翻译教学内容.docx_第3页
第3页 / 共19页
VHDL语言设计数字系统的外文翻译教学内容.docx_第4页
第4页 / 共19页
VHDL语言设计数字系统的外文翻译教学内容.docx_第5页
第5页 / 共19页
点击查看更多>>
下载资源
资源描述

VHDL语言设计数字系统的外文翻译教学内容.docx

《VHDL语言设计数字系统的外文翻译教学内容.docx》由会员分享,可在线阅读,更多相关《VHDL语言设计数字系统的外文翻译教学内容.docx(19页珍藏版)》请在冰豆网上搜索。

VHDL语言设计数字系统的外文翻译教学内容.docx

VHDL语言设计数字系统的外文翻译教学内容

DesigningaDigitalsystemwithVHDL

ValentinaStoyanovaKukenska

Abstract:

InthispaperadigitalsystemdesigningwithVHDLispresented.Hereareexposedsequentiallyallthephasesoftheverydigitalsystem'sdesigning.Themainmethodsarealsoonshowhere.Theprojectdescriptions’typesarepresented.ThestressisputontheuseofVHDLforsynthesisofstructuralandbehavioralmodels.

ForcreatingtheprojectofthechosendigitalsystemanintegratedsystemWebPackwasused,aswellasModelSImXEIIforthemodel'ssimulation.

Keywords:

Design,VHDL,digitalsystems,model,WebPack

1.INTRODUCTION

Thedigitalsystemsarecomplexones,consistingoflotsofcomponents.Asfarastheautomateddesignofsuchsystemsisconcerned,methodsfordesigningtimereducingandlimitingthecomplexityofthetaskaresoughtoutandapplied.Amethodofthekindisconnectedwiththedecompositionandhierarchyprinciples.Thedecompositionofthesystemsisrealizedinaway,whichdifferentiatesfunctionallyindependentmodules.

Adigitalsystemcanbedescribedasamodulewithinputsand/oroutputs.Theelectricalvaluesontheoutputsaresomefunctionofthevaluesontheinputs.

Onewayofdescribingthefunctionofamoduleistodescribehowitiscomposedofsub-modules.Eachofthesub-modulesisaninstanceofsomeentity,andtheportsoftheinstancesareconnectedusingsignals.Thiskindofdescriptioniscalledastructuraldescription.

Inmanycases,itisnotappropriatetodescribeamodulestructurally.Onesuchcaseisamodule,whichisatthebottomofthehierarchyofsomeotherstructuraldescription.Forexample,ifyouaredesigningasystemusingICpackagesboughtfromanICshop,youdonotneedtodescribetheinternalstructureofanIC.Insuchcases,adescriptionofthefunctionperformedbythemoduleisrequired,withoutreferencetoitsactualinternalstructure.Suchadescriptioniscalledafunctionalorbehavioraldescription.

Usually,forstructuralandbehavioraldescription,eitherVerilogorVHDLisused.InthispaperadesigningwithVHDLispresented.Hereareexposedsequentiallyallthephasesoftheverydigitalsystem'sdesigning.Themainmethodsarealsoonshowhere.Theprojectdescriptions’typesarepresented.ThestressisputontheuseofVHDLforsynthesisofstructuralandbehavioralmodels.HerearepresentedseveralVHDLmodelsofcomputersystems’components.

2.Methodsandstagesindigitalsystems’design

Indigitalsystems’design,aswellasdesignofcomplexsystems,acoupleofmethodsareinuse:

∙∙       top-downdesigning;

∙∙       up-downdesigning.

Intop-downdesigningthebuildingupofthesystemisusuallystartedfrombelowinuprightdirectionthroughelaboratingtheelementblocks’schemes,assembledlatertoformthewholeproduct.

Anadvantageofthismethodistheuseofrepresentationonfunctionalblocklevelandthelower,thestructurallevel,isaddressedonlyduringtheerrorchecksimulationswithintheproject.

Theup-downdesigningstartswithaspecificationonthehighestlevel.Afterthat,theprojectisbeingdecomposedintofunctionalblocksandtherequirementsfortheincomeandoutcometimeproportionsarespecified.Thefunctionalmodelsaredescribedthroughbehavioralmodelsorbymodelsonregisterlevelsandaresubsequentlysimulated.

Someoftheadvantagesofthemethodsare:

∙∙       аneasierexecutionofthetask’sspecifications;

∙∙       иtallowsaprojects’checkonsystemlevel,withouttacklingthestructuraldetails;

∙∙       Theproject’scheckisdone,withnoregardtothetechnologyofitsrealization.Thatallowsthatthechoiceoftechnologybemadeonalaterstageofthedesigningproject.

Themosteffectiveup-downdesigningmethodistheuseofanabstractdescriptionoftheschemeandthesequentialdetailsspecifyingofthedifferenthierarchylevels’description.

Thedigitalsystems’designgoesthroughthenextstages:

∙∙       Specification;

∙∙       Functional(electrical)designing;

∙∙       Physicaldesigning;

∙∙       Manufacturing;

∙∙       Testing.

Throughspecificationtheproductparameters,necessaryforitsproperdestination,aredetermined.

Throughthefunctional(electrical)designing,theelectricalscheme,responsibleforthefunctionsandparametersoftheproduct,intermsofthespecification,iselaborated.

Thebehavioralstageservesasadescriptionfortheschemeasasystem,anditsentriesandexitsaremarkedout.Inmostofthecases,VHDLmodelsareused.

TheFunctional(electrical)designingdealswithmainfunctionalblocks’elaboration.UsuallyadetailedVHDLdescriptionofthefunctionalblockismadeandbeingcheckedbyaVHDLsimulation.

Withtheincreasingcomplexityoftheprojects,fortheelaborationonstructurallevel,thetechniqueofsynthesisisapplied.ItallowsthattheschemewithlogicalelementsbesynthesizedfromaVHDLdescription.Throughlogicaldescriptiondetailssuchascharging,elements’delay,arespecifiedandcrucialmethodsandproblemswithtimescatteringofsignalsaredefined.

ThePhysicaldesigningstagesstronglydependontechnology.Thecommontaskisconcernedwiththedeployingofthelogicalelementsanddefining(tracing)theirinterrelations.

ProvidedthatfortheproductrealizationPLD,CPLDorFPGAchipsareused,thentheresultofthephysicaldesigningrepresentsaconfigurationfilefordesigningthechosendevice’sresources.

Thetestingoftheprojectrepresentsanumberofprocedures,usedbydesigners,toprovide:

∙adequacybetweenprojectandspecification;

∙theexecutionoftheprojectintermsofthechosentechnology.

Thedesigningprocessisusuallyiterative,includingpre-designingofgivenparts,untiltheintendedindicatorsareobtained.

Forthetasksoftestinginelectricaldesigning(thefunctionalityoftheproductanditselectricalparameters),simulationsareused.

Thesimulationonbehavioralleveldefineshowtheproductwillrun,beforeitsactualcompoundingblocksarechosen.Forworkingoutofthebehavioralmodels,thehardwaredescriptionlanguagesareused(VHDL,Verilogandothers).

Throughsimulation,onalogicalprimitiveslevel,theschemesarebuiltupwithbasiclogicalelements“AND-NO”,“OR-NO”,invertorsandtriggersandarebeingsimulatedinordertofindoutirrelevanceswiththeirexpectedacting.

Infunctionaltesting,thedelaysarenotconcernedortheyaresupposedsimilarforalllogicalelements.

Erroridentificationafterthephysicaldesign

Aftertopology’sfinalelaborationaremadethenextprocedures:

∙∙       checkoutofthetechnormsthroughoutmanufacturing;

∙∙       checkoutfortheproject’sauthenticity.

Thetechnormsformanufacturingarespecificforeachtechnologicalprocess.

Theauthenticityverificationoftheprojectaimstoguaranteetheproduct’sproperworking.Itincludes:

∙∙       findingouttheinterconnectionofthescheme;

∙∙       findingouttheparasitecomponentsofthetopology.

3.Typesofdesigndescriptions

Throughthedesigningprocess,threetypesofdesigndescriptionareinuse:

∙∙       behavioral;

∙∙       structural;

∙∙       physical.

Thebehavioraldescriptiontacklesthesystemasifitwereakindof“blackbox”withitsentrancesandexits,withnoregardtoitsstructure.Theaimistoignoretheredundantdetailsandtoconcentrateonthespecificationofthenecessaryforthefunctions,whicharetobedonebytheproduct.Onthisstage,languagesfortheapparatuspartareusedHDL(HardwareDescriptionLanguages)-VHDL,Verilogandothers.

Thestructuraldescriptiondefinesthewaythatthesystemistobebuiltup.Here,thesystem’sstructure,madeofblocksandtheirinterrelations,istackled.Thesubsystems,whicharetoprovideitsfunctionalexecution,aswellastheirdetaileddescriptionforanalysisoftheoperationalspeed,chargingandsoon,aredefined.Thestructuraldescriptioncanbepresentedbylanguagesforthedescriptionofthehardware,aswellasbyelectricalschemes.

Thedesignprocessisconnectedwiththetransformationsofthesystems’descriptionsandtheirsequentialdetailsspecification.Decompositionfrombehavioraltostructuraldescriptioncanberealizedonanumberoflevelsinahierarchy.Fromthehighesttothelowest,theselevelscanbeoutlinedasitfollows:

∙∙       systemlevel;

∙∙       functionallevel;

∙∙       logicallevel;

∙∙       schemelevel.

Onthehighestsystemlevel,thesystem’sbehaviorisrepresentedbyalgorithmsthatdescribeitsfunctions.Inorderthatthesefunctionsbeexecuted,thearchitectureofthesystemisworkedout,includingmicroprocessors,memories,mainboardsandotherstructuralcomponents.

Onthelowerlevel,thesystem’sbehaviorisdescribedbyBoliviaequations.Fortheirexecution,logicalelementsandtriggersareused.

4.UseofVHDLforsynthesisofstructuralandbehavioralmodels

VHDLisaHardwareDescriptionLanguagefordescribingdigitalsystem[2].

VHDLisdesignedtofullanumberofneedsinthedesignprocess.

VHDLcontainsanumberoffacilitiesformodifyingthestateofobjectsandcontrollingtheflowofexecutionofmodules.

InVHDL,anentityissuchamodulewhichmaybeusedasacomponentinadesign,orwhichmaybethetop-levelmoduleofthedesign.Theentitydeclarativepartmaybeusedtodeclareitems,whicharetobeusedintheimplementationoftheentity.

Onceanentityhashaditsinterfacespecifiedinanentitydeclaration,oneormoreimplementationsoftheentitycanbedescribedinarchitecturebodies.Eacharchitecturebodycandescribeadifferentviewoftheentity.

Thedeclarationsinthearchit

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 工作范文 > 行政公文

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1