数字电路实验指导书.docx

上传人:b****4 文档编号:24180059 上传时间:2023-05-25 格式:DOCX 页数:23 大小:622.68KB
下载 相关 举报
数字电路实验指导书.docx_第1页
第1页 / 共23页
数字电路实验指导书.docx_第2页
第2页 / 共23页
数字电路实验指导书.docx_第3页
第3页 / 共23页
数字电路实验指导书.docx_第4页
第4页 / 共23页
数字电路实验指导书.docx_第5页
第5页 / 共23页
点击查看更多>>
下载资源
资源描述

数字电路实验指导书.docx

《数字电路实验指导书.docx》由会员分享,可在线阅读,更多相关《数字电路实验指导书.docx(23页珍藏版)》请在冰豆网上搜索。

数字电路实验指导书.docx

数字电路实验指导书

第一章单元实验

实验一逻辑门电路的研究

一、实验目的:

1.分析“门”的逻辑功能。

2.分析“门”的控制功能。

3.熟悉门电路的逻辑交换及其功能的测试方法。

二、实验使用仪器和器件:

1.数字逻辑电路学习机一台。

2.万用表一块。

三、实验内容和步骤:

1.TTL集成门逻辑功能的测试:

⑴“与非门”逻辑功能的测试:

在学习机上插入74LS10芯片,任选一个三输入端“与非门”按表1完成逻辑功能的测试(输入“1”态可悬空或接5V,“0”态接地)。

表1

输入逻辑状态

输出逻辑

ABC

111

011

001

000

状态

电位(V)

⑵用“与或非”门实现Z=AB+C的逻辑功能:

在学习机上插入74LS54芯片,做Z=AB+C逻辑功能的测试,完成表2的功能测试并记录。

表2

输入逻辑状态

输出逻辑

ABC

111

011

001

000

状态

电位(V)

注意:

测试前应将与或非门不用的与门组做适当处理。

2.“门”控制功能的测试:

⑴“与非”门控制功能的测试:

按图1接线,设A为信号输入端,输入单脉冲,B为控制端接控制逻辑电平“0”或“1”。

输出端Z接发光二极管(LED)进行状态显示,高电平时亮。

按表3进行测试,总结“封门”“开门”的规律。

图1“与非门”控制功能测试电路

表3

A

B

Z

A

B

Z

0

1

0

1

0

0

0

0

0

1

0

1

1

1

1

1

⑵用“与非门”组成下列电路,并测试它们的功能

“或”门:

Z=A+B

“与”门:

Z=AB

“或非”门:

Z=A+B

“与或”门:

Z=AB+CD

要求:

画出电路图和测试记录表格,并完成逻辑功能的测试,总结控制功能的规律。

四、预习要求:

要求认真阅读实验指导书并完成要求自拟的实验电路和测试记录表格,本实验属于一般验证性实验,学生应对所有测试表的结果可预先填好,实验时只做验证,且可做到胸中有数,防止盲目性,增加自觉性。

五、实验报告要求:

总结“与非”、“与”、“或”、“或非”门的控制功能。

六、思考题:

1.为什么TTL与非门的输入端悬空则相当于输入逻辑“1”电平,CMOS与非门能否这样处理?

2.与或非门不用的与门组如何处理?

 

 

实验二组合逻辑电路设计

一、实验目的:

1.学会用集成TTL门构成组合逻辑电路。

2.通过实验手段实现所设计的电路。

二、实验内容:

1.设计全加器:

用双四选一数据选择器74LS153与门电路结合设计加法器

设A为被加数,B为加数,Cn-1为上位进位输入,F为A+B的结果,Cn为本位进位输出。

1)功能真值表如下:

A

B

Cn-1

F

Cn

0

0

0

0

0

1

0

0

1

0

0

1

0

1

0

1

1

0

0

1

0

0

1

1

0

1

0

1

0

1

0

1

1

0

1

1

1

1

1

1

2)给出表达式

3)画出逻辑电路图

4)根据实验结果,完成加法器波形图:

(始终频率由大到小应为被加数,加数,进位输入。

F

Cn

2.设计一个能完成如下功能的发电机组供电控制电路:

某工厂有四台用电设备:

A、B、C、D其中

A设备用电5KW

B设备用电10KW

C设备用电12KW

D设备用电18KW

使用过程中不允许A、B两台用电设备同时使用。

现有供电机组三台:

x容量10KW

y容量15KW

z容量20KW

为了节约能源,要求按用电状况合理启动供电机组,设计出供电机组控制逻辑(1表示供电和用电,0表示不供电不用电)。

用与非与非式实现。

三、实验前的准备:

1.复习组合电路的设计方法。

2.根据任务要求设计逻辑电路,拟定实验步骤,提出器材名单。

3.复习组合电路竞争冒险现象产生的原因及消除方法。

四、实验报告要求:

1.写出设计过程,画出电路逻辑图,记录实验验证结果。

2.总结实验中所出现的问题,分析原因及解决方法。

3.分析所设计的电路能否出现竞争冒险现象。

 

实验三集成触发器

一、实验目的:

掌握基本RS、JK、D及T'触发器的逻辑功能。

二、实验任务与步骤:

1.基本RS触发器逻辑功能的测试:

基本RS触发器常与机械按钮开关相配合构成去弹跳按钮开关,用以产生单脉冲做单脉冲源使用。

学习机上使用的单脉冲就是这样产生的。

如图3所示,图4则表明它不能给出清晰的单脉冲。

图3基本RS触发器

图4简单逻辑开关给出的有弹跳信号

按表4完成图3电路的功能测试,SW按钮开关可用一端接地的引线代替,将引线的另一端由S端移向R端一次,相当于手按了一下SW按钮开关。

R、S上的“-”号表明低电平激励,高电平不起作用。

 

表4

RS

Q

Q

00

01

10

11

2.集成JK触发器

图5是JK触发器的逻辑符号图,其中:

SD为异步置位端,小圆圈表示低电平有效。

RD为异步清除(复位)端。

JK为同步控制输入端。

它们只有在SD,RD为高电平时才起作用,JK的状态将告诉触发器在下一个时钟脉冲作用时该怎样动作。

请注意CP输入端的小圆圈代表CP脉冲下降沿起作用。

三角符号表示该触发器为边沿触发。

如果JK端超过一个,它们之间是J1、J2……相与或K1、K2……相与的关系,这将为实现不同的控制逻辑提供了方便。

图5JK触发器逻辑符号

(1)异步置位、复位功能测试:

按照表5完成JK触发器异步置位和异步复位功能的测试。

 

表5异步动作表

SD

RD

Q

Q

1

1→0

0→1

1→0

1

0→1

0

0

注意:

74LS112芯片的PR端为Sd端,CLR端为Rd端。

(2)同步JK功能的测试:

请按表6完成同步JK功能的测试:

`表6同步工作的JK功能表(同步表)

tn

tn+1

输入

输出

J

K

CP

Qn=0

Qn=1

0

0

01`

禁止方式

复位方式

置位方式

反复方式

0

1

01

1

0

01

1

1

01

注:

tn表示时钟脉冲来到前的时刻;

tn+1则是指时钟脉冲向低电平跳变之后的某时刻。

(3)将JK触发器接成计数器工作状态(T'触发器):

CP端输入方波信号观察输入和输出端(Q、Q)的波形,并将它们画在同一张方格纸上,注意它们的相位关系与时间关系。

3.集成D触发器:

集成D触发器逻辑符号如图6所示。

完成下列实验任务:

(1)异步置位端SD和异步复位端RD功能测试:

按表7要求改变SD和RD(D及CP处于任意状态),并在SD和RD作用期间任意改变D与CP的状态,测试SD和RD的功能,将测试结果记录于表中。

表7D触发器强制置位复位功能表

SD

RD

Q

Q

1

1→0

0→1

1→0

1

0→1

0

0

(2)D触发器功能的测试:

按表8测试D触发器逻辑功能并记录于表中:

表8D触发器逻辑功能表

D

CP

Qn+1

Qn=0

Qn=1

0

0→1

1→0

1

0→1

1→0

(3)将D触发器的Q端与D端相连,接成计数器状态,CP端输入方波信号,观察输入与输出端(Q、Q的波形,把它们画在同一张方格纸上,注意它们之间的相位关系与时间关系)。

三、实验设备:

1.数字逻辑学习机。

2.万用表。

四、实验报告要求:

总结基本RS触发器,JK触发器,D触发器的逻辑功能。

了解JK、D、RS触发器后,设计一个RSJK的电路,画出电路图,并验证其功能。

实验四计数器

一、实验目的:

1.了解时序电路的设计方法和步骤,掌握计数器的工作原理,研究自启动问题。

2.掌握不同类型计数器设计、调试方法,进一步掌握数字示波器测量多路波形方法。

3.双J-K负沿触发器的工作特性

二、实验器件:

1)双J-K负沿触发器

2)二输入四与非门

3)六反相器

三、实验设计内容

用双J-K负沿触发器设计一个可控五进制计数器,要求:

1.当控制端A=1时,实现下述的状态:

Q0Q1Q2000100110111011000

2.当控制端A=0时,实现下述状态:

Q0Q1Q2000100110010011000

测试并记录时钟CK、Q0、Q1、Q2的波形。

3.测试J-K触发器的外特性,并记录波形.

四、设计过程

1.画出原始状态图:

2.求出激励函数和激励方程:

3.画出逻辑电路图

4.实验结果记录

1)J-K负沿触发器74LS114外特性测试:

2)J-K负沿触发器实验波形纪录:

J-K负沿触发器(CP=500KHZ)A=1:

J-K负沿触发器(CP=500KHZ)A=0:

五.实验报告要求:

1.写出可控五计数器的设计过程。

2.画出用双J-K负沿触发器74LS114设计可控五计数器的逻辑电路图。

3.总结实验中出现的问题,分析原因及解决方法。

第二章高密度可编程器件实验

高密度可编程器件是目前国内外通用的硬件电路设计方法,也是学习电路设计的重要内容。

通过学习本章,将为今后硬件设计打下良好的基础。

应注意不同层次的编程方法,应注意体会并灵活运用。

【芯片简介】

使用的芯片是AlteraCorporation生产的MAX-EPM7128SLC-15。

它包含128个宏单元,相当于2000个逻辑门。

具有不同的封装形式(实验一般采用PLCC84封装)。

芯片在使用和烧录时均使用5V直流电源。

在芯片的84个管脚中,有8个管脚是用来接电源的,分别是管脚3、13、26、38、43、53、66、78,它们在芯片管脚标注上被标记为VCCIO或VCCINT。

还有8个管脚用来接地,分别是管脚7、19、32、42、47、59、72、82,标记为GND。

管脚14、23、62、71为烧录时与计算机并口连接端口。

管脚2、83为外部时钟输入。

管脚1为芯片全局清零。

其余61个管脚为芯片的I/O接口,用作与外部的输入输出交换数据。

芯片外观及管脚定义见下图

实验五码制转换器的设计与实现

一、基本知识点

1、了解CPLD器件的特性

2、VHDL语言的编程思想及调试方法

3、QUARTUS软件的使用方法

二、实验器件EPM7128芯片

三、实验内容

应用VHDL语言设计实现新的数电实验,定义管脚,并且烧录在EPM7128SLC-15

或ATF1508上检验正确性。

四、设计要求

设计一个双向转换电路,完成8421<->格雷码的互换。

同时设计一个四位二进制计数器产生8421码进行测试,并且留出输出的测试点。

五、过程分析

1、8421与Gray码的互相转换真值表:

表3.18421与Gray码的互相转换真值表

1、表达式:

8421->Gray:

G3=B3

G2=B2XORB3

G1=B1XORB2

G0=B0XORB1

Gray->8421

B3=G3

B2=G2XORB3

B1=G1XORB2

B0=G0XORB1

2、设计思路:

时钟的每次触发都完成一次计数的增加,并且把计数的结果作为码制转换的输入

直接进行码制转换。

其中SEL是码制转换的选择端,1表示8421->Gray;0则反之。

这可以用IF-THEN-ELSE的结构来完成。

另外计数结果也作为输出以便测试。

六、程序代码

--*****************************************************

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_arith.all;

useieee.std_logic_unsigned.all;

--*****************************************************

entityGray8421is

port(

switch:

instd_logic;--1:

8421->Gray;0:

Gray->8421

ck:

instd_logic;--时钟输入

ind:

instd_logic_vector(3downto0);--转换输入点

q:

outstd_logic_vector(3downto0);--二进制计数输出的测试点

dst:

outstd_logic_vector(3downto0)--码制转换的输出测试点

);

endGray8421;

--*****************************************************

architectureaofGray8421is

begin

process(ck)

variableinc:

unsigned(3downto0);--计数器内部计数

begin

ifck'eventandck='1'then

inc:

=inc+1;--计数器内部计数

endif;

q<=std_logic_vector(inc);--把计数器的计数结果输出

dst(3)<=ind(3);--以下完成码制转换

dst

(2)<=ind

(2)xorind(3);

ifswitch='1'then

dst

(1)<=ind

(1)xorind

(2);

dst(0)<=ind(0)xorind

(1);

else

dst

(1)<=ind

(1)xorind

(2)xorind(3);

dst(0)<=ind(0)xorind

(1)xorind

(2)xorind(3);

endif;

endprocess;

enda;

--*****************************************************

七、实验方法

1、将编译好的程序下载到EPM7128(或ATF1508)中。

2、按照芯片管脚图接线。

Switch接K0,ck接时钟。

3、用手动置输入码,改变Switch观察输出转换是否正确。

4、用可编辑数字信号发生器分别产生2Hz的8421码和Gray码进行转换,并将输入输出接入LED观察。

5、ck接入100KHz,将q3~q0连接ind3~ind0,改变Switch,用数字信号显示仪观察q3~q0;dst3~dst0。

 

实验六:

任选实验

仿照实验五的实验过程,从下列实验中任选其一进行编程实现,并进行仿真。

(1)双向移位寄存器。

一、基本知识点

1、了解CPLD器件的特性

2、VHDL语言的编程思想及调试方法

3、QUARTUS软件的使用方法

二、实验器件

EPM7128芯片

三、设计要求

设计一个双向移位寄存器。

并且将各个管脚留出对应的测试点,以便检验。

 

(2)节拍或序列发生器

一、基本知识点

1、了解CPLD器件的特性

2、VHDL语言的编程思想及调试方法

3、QUARTUS软件的使用方法

二、实验器件

EPM7128芯片

三、设计要求

设计一个四相序列发生器,要求产生如下波形。

四相序列发生器波形图

(3)数字频率计数器设计

一、基本知识点

1、了解CPLD器件的特性

2、VHDL语言的编程思想及调试方法

3、QUARTUS软件的使用方法

二、实验器件

EPM7128芯片

三、设计要求

设计一个8拍节拍发生器,要求产生如下8个连续波形

(4)汽车尾灯控制器的设计

一、基本知识点

1、了解CPLD器件的特性

2、VHDL语言的编程思想及调试方法

3、QUARTUS软件的使用方法

二、实验器件

EPM7128芯片

三、设计要求

设计汽车尾灯的模拟程序。

 

(5)电梯控制器的设计

一、基本知识点

1、了解CPLD器件的特性

2、VHDL语言的编程思想及调试方法

3、QUARTUS软件的使用方法

二、实验器件

EPM7128芯片

三、设计要求

模拟电梯控制程序。

使用拨键开关输入欲到达的楼层。

要求有数码管显示当前楼层,

目标楼层,并且可以输入两个目标楼层,按输入的顺序到达,到达时有开门指示灯。

在电梯移动时,要有相应的指示灯显示其方向。

(6)数字钟的设计

一、基本知识点

1、了解CPLD器件的特性

2、AHDL语言的编程思想及调试方法

3、QUARTUS软件的使用方法

二、实验器件

EPM7128芯片

三、设计要求

本实验使用cpld来实现数字电子钟的功能。

以某个高频时钟信号为激励,输出时:

分:

秒。

采用24小时制。

时:

分:

秒的输出使用七段数码管来显示,每部分用2位

十进制数表示。

每位十进制数使用4个二进制位表示。

同时,当时间到达23:

59:

59,

继续计时,则使得进位位(Co)置一,使用发光二极管表示。

同时,数字电子钟还具有清零、停止计时和重置时间的功能。

本实验使用1KHZ时钟信号为激励,输出数字电子钟的显示。

要求实现数字电子钟如下功能表的所用功能。

附录:

实验中可能会用到的芯片管脚图

74LS0074LS04

74LS0874LS10

74LS3274LS51

74LS54

74LS74

74LS74功能表

74LS112

负沿触发双j-k触发器(带预置端和清除端)74LS112功能表

74LS114双j-k触发器(带预置端,共清除端和时钟端)74LS114功能表

74LS153双四选一数据选择器74LS153功能表

欢迎您的下载,资料仅供参考!

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 外语学习 > 法语学习

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1