实验指导01之欧阳美创编Word文档下载推荐.docx

上传人:b****8 文档编号:22725772 上传时间:2023-02-05 格式:DOCX 页数:10 大小:21.34KB
下载 相关 举报
实验指导01之欧阳美创编Word文档下载推荐.docx_第1页
第1页 / 共10页
实验指导01之欧阳美创编Word文档下载推荐.docx_第2页
第2页 / 共10页
实验指导01之欧阳美创编Word文档下载推荐.docx_第3页
第3页 / 共10页
实验指导01之欧阳美创编Word文档下载推荐.docx_第4页
第4页 / 共10页
实验指导01之欧阳美创编Word文档下载推荐.docx_第5页
第5页 / 共10页
点击查看更多>>
下载资源
资源描述

实验指导01之欧阳美创编Word文档下载推荐.docx

《实验指导01之欧阳美创编Word文档下载推荐.docx》由会员分享,可在线阅读,更多相关《实验指导01之欧阳美创编Word文档下载推荐.docx(10页珍藏版)》请在冰豆网上搜索。

实验指导01之欧阳美创编Word文档下载推荐.docx

instd_logic;

A,B,C,D,E:

outstd_logic);

enddemo;

architecturedemo_archofdemois

signalcount:

std_logic_vector(4downto0);

begin

A<

=count(0);

B<

=count

(1);

C<

=count

(2);

D<

=count(3);

E<

=count(4);

process(clk,clr)

Begin

if(clr='

0'

)then---清零(clr)信号有效时,

count<

="

00000"

;

计数器清零

elsifrising_edge(clk)then---时钟信号的上升沿触发

if(en='

1'

)then

if(count="

10011"

)then---计数到十进制的19时,再来count<

一个计数时钟时,计数器从零开始计数

else

=count+'

---计数值加1

endif;

endprocess;

enddemo_arch;

step4->

选中SourceinProject源程序区中的ispLSI1016-80LJ44,在主窗口右侧选择CompileDesign命令,编译文件demo.vhd后生成熔丝图文件(*.jed)。

注:

一般来讲,第一次输入的VHDL源程序时都可能存在语法和其他输入方面的错误,此时可选择菜单Tools=>

SynplicitySynplifySynthesis,出现如下窗口。

选Add调入demo.vhd,然后对demo.vhd文件进行编译、综合。

若整个编译、综合过程无错误,该窗口在综合过程结束时会自动关闭。

若在此过程中出错,双击上述Synplify窗口中SourceFiles栏中的demo.vhd文件进行修改并存盘,然后按RUN钮重新编译。

Step5->

编译和综合各步骤通过后,要形成可以将程序下载到实验板上的*.jed文件,为此,在文本编辑软件中编写一个引脚锁定文件(*.prn),将程序中的输入/输出信号与实验板上的ispLSI1016芯片的具体管脚一一对应。

下面是demo中的二十进制计数器的引脚锁定文件demo.prn:

In/out信号

引脚属性

芯片引脚号

Clk

In

11

Clr

14

En

24

A

Out

15

B

16

C

17

D

18

E

out

19

此后,打开编译设计文件的CompileDesign的CompileProperties对话框,在Pin文本框中输入引脚锁定文件Demo.prn,然后单击“确定”按钮,完成引脚锁定功能。

step6->

返回到ispDesignEXPERTSystemProjectNavigator项目引导器窗口,选中SourceinProject源程序区中的ispLSI1016-80LJ44,在主窗口右侧选择CompileDesign命令,编译文件demo.vhd后生成用于下载的熔丝图文件demo.jed。

下载熔丝图文件

为了下载熔丝图文件到实验板的ispLSI1016-80PLCC44芯片中,执行如下操作:

1、检查实验板的编程接口电缆是否已连接到计算机的并行接口处,如未连好,文件存盘后关闭计算机,将接口电缆连接好后开机;

2、在ispDesignEXPERTSystemProjectNavigator左侧的SourceinProject窗口中,选中系统可编程逻辑器件ispLSI1016-80LJ44;

3、在右侧的窗口中,双击系统可编程逻辑器件的ISPDaisyChainDownload(莲花链式下载)命令,进入程序下载操作;

4、双击LSCISPDaisyChainDownload系统中的SCAN按钮开始扫描操作,查找用户实验板上的ispLSI器件,如果计算机的并行接口和编程接口电缆连接以及编程接口电缆和用户电路板的连接无误,则程序将显示用户电路板的ispLSI集成电路的型号,然后提示ScanBoard:

successful。

5、在Browse窗口中找到需要下载的.jed文件,并选择PV(Program&

Verify)选项;

6、按Ctrl+R组合键执行下载操作,下载结束后,实验板上的5个发光二极管将开始计数显示。

设计示例

本小节以数字频率计为例,详细介绍了用VHDL语言从输入设计到编程可编程芯片的各个步骤,该实例设计已在ispDesignEXPERT开发系统中完成,并在TDS-1型在系统可编程逻辑集成电路实验板上得到了验证,关于TDS-1型在系统可编程逻辑集成电路实验板的电路原理可参见该系统的使用说明书。

设计示例:

用VHDL编写程序实现数字频率计的控制4个十进制计数器在单位时间内计数、停止计数和清零等逻辑功能。

如下图所示,xclk为待测的输入信号,clk为8HZ标准信号,作为产生1秒信号的输入信号。

D0-A0为个位十进制计数器的BCD码输出信号,D3-A3为千位十进制计数器的BCD码输出信号,为实验板上的LED数码管驱动电路提供输入信号。

图4位数字频率计

该程序由5个进程组成,其中进程P1-P4分别描述4个十进制计数器,进程P5产生计数器的清零信号clr和计数允许信号en,源程序如下:

useieee.std_logic_arith.all;

entityfreqis

port(xclk,clk:

instd_logic;

A0,B0,C0,D0,A1,B1,C1,D1:

outstd_logic;

A2,B2,C2,D2,A3,B3,C3,D3:

outstd_logic);

end;

architectureexampleoffreqis

signalcountb:

std_logic_vector(3downto0);

signalcount0:

signalcount1:

signalcount2:

signalcount3:

signalen,clr,m0,m1,m2:

std_logic;

P1:

process(xclk,en,clr)

begin

if(clr='

count0<

0000"

m0<

='

elsif((en='

)andrising_edge(xclk))then

if(count0="

1001"

else

=count0+'

endif;

endif;

endprocessP1;

P2:

process(en,clr,m0)

count1<

m1<

)andfalling_edge(m0))then

if(count1="

=count1+'

endprocessP2;

P3:

process(en,clr,m1)

count2<

m2<

)andfalling_edge(m1))then

if(count2="

=count2+'

endprocessP3;

P4:

process(en,clr,m2)

count3<

)andfalling_edge(m2))then

if(count3="

=count3+'

endprocessP4;

P5:

process(clk)

if(rising_edge(clk))then

countb<

=countb+'

=countb;

if(countb="

0111"

clr<

='

else

if(countb>

"

en<

endprocessP5;

A0<

=count0(0);

B0<

=count0

(1);

C0<

=count0

(2);

D0<

=count0(3);

A1<

=count1(0);

B1<

=count1

(1);

C1<

=count1

(2);

D1<

=count1(3);

A2<

=count2(0);

B2<

=count2

(1);

C2<

=count2

(2);

D2<

=count2(3);

A3<

=count3(0);

B3<

=count3

(1);

C3<

=count3

(2);

D3<

=count3(3);

endexample;

完成上述程序的输入设计文件并且编译通过后,在ispDesignEXPERTProjectNavigator中选择在系统可编程逻辑器件ispLSI1016-80PLCC44,编译设计文件(CompileDesign),如果要形成可以将程序下载到实验板的熔丝图文件(.jed),还要在文本编辑软件中编写一个引脚锁定文件(*.prn),将程序中的输入/输出信号与实验板上的ispLSI1016芯片的具体管脚一一对应。

以下是该数字频率计的引脚锁定文件freq.prn:

输入/输出信号名

芯片的引脚编号

Xclk

35

A0

B0

C0

D0

A1

B1

20

C1

21

D1

Outr

22

A2

25

B2

26

C2

27

D2

28

A3

29

B3

30

C3

31

D3

32

打开CompileDesign的CompileProperties对话框,在Pin文本框中输入引脚锁定文件freq.prn,然后单击“确定”按纽。

须注意的是ispLSI1016-80的35脚既可作为一个输入信号Y1,也可定义为复位信号(RESET),如果不加任何控制,在编译适配软件时,将Y1默认为系统复位端口。

由于在本实验中ispLSI1016-80的35脚是作为一个时钟输入信号使用的,因此需要通过编译器控制参数将ispLSI1016-80的35脚定义为时钟输入脚,即在CompilerProperties对话框中将Y1端口定义为复位信号无效(□Y1asRESET)。

最后,按Ctrl+R组合键执行下载操作,将生成的熔丝图文件下载到实验板的ispLSI1016-80PLCC40芯片上,下载操作结束后,实验板上的4个LED数码管(LED4-LED1)应显示输入信号的数字频率。

其它的数字系统设计如自动售货机、电子钟、交通信号灯等同学们可查阅文献3。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 文学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1