专用集成电路实验报告.docx

上传人:b****2 文档编号:1919896 上传时间:2022-10-25 格式:DOCX 页数:27 大小:111.13KB
下载 相关 举报
专用集成电路实验报告.docx_第1页
第1页 / 共27页
专用集成电路实验报告.docx_第2页
第2页 / 共27页
专用集成电路实验报告.docx_第3页
第3页 / 共27页
专用集成电路实验报告.docx_第4页
第4页 / 共27页
专用集成电路实验报告.docx_第5页
第5页 / 共27页
点击查看更多>>
下载资源
资源描述

专用集成电路实验报告.docx

《专用集成电路实验报告.docx》由会员分享,可在线阅读,更多相关《专用集成电路实验报告.docx(27页珍藏版)》请在冰豆网上搜索。

专用集成电路实验报告.docx

专用集成电路实验报告

专用集成电路实验报告

班级:

13050Z01

姓名:

潘恩高

学号:

1305024343

任课教师:

辛洁

26

/1

实验一开发平台软件安装与认知实验

实验级别:

必做实验性质验证性

学时学时:

开课单位:

信息与通信工程学院通信工程系2

一、实验目的:

1、了解XilinxISE9.2/QuartusII软件的功能。

2、掌握XilinxISE9.2/QuartusII的VHDL输入方法。

3、掌握XilinxISE9.2/QuartusII的原理图文件输入和元件库的调用方法。

4、掌握XilinxISE9.2/QuartusII软件元件的生成方法和调用方法。

5、掌握XilinxISE9.2/QuartusII编译、功能仿真和时序仿真。

6、掌握XilinxISE9.2/QuartusII原理图设计、管脚分配、综合与实现、数据流下载方法。

7、了解所编电路器件资源的消耗情况。

二、实验器材:

计算机、QuartusII软件或xilinxISE

三、实验内容:

1、本实验以三线八线译码器(LS74138)为例,在XilinxISE9.2软件平台上完成设计电路的VHDL文本输入、语法检查、编译、仿真、管脚分配和编程下载等操作。

下载芯片选择Xilinx公司的CoolRunnerII系列XC2C256-7PQ208作为目标仿真芯片。

2、用1中所设计的的三线八线译码器(LS74138)生成一个LS74138元件,在XilinxISE9.2软件原理图设计平台上完成LS74138元件的调用,用原理图的方法设计三线八线译码器(LS74138),实现编译,仿真,管脚分配和编程下载等操作。

四、实验源程序:

libraryIEEE;

useIEEE.STD_LOGIC_1164.ALL;

useIEEE.STD_LOGIC_ARITH.ALL;

useIEEE.STD_LOGIC_UNSIGNED.ALL;

--Uncommentthefollowinglinestousethedeclarationsthatare

--providedforinstantiatingXilinxprimitivecomponents.

--libraryUNISIM;

--useUNISIM.VComponents.all;

entityls74138is

Port(g1:

instd_logic;

g2:

instd_logic;

inp:

instd_logic_vector(2downto0);

26

/2

y:

outstd_logic_vector(7downto0));

endls74138;

architectureBehavioralofls74138is

begin

process(g1,g2,inp)

begin

if((g1andg2)='1')then

caseinpis

when

when=>y<=

when=>y<=

when=>y<=

when@=>y<=

whenA=>y<=00000;

whenH=>y<=00000;

whenI=>y<=@00000;

whenothers=>y<=\;

endcase;

else

y<=\;

endif;

endprocess;

endBehavioral;

五、实验结果与分析

1图上图中,g1和g2为两个使能控制信号,inp为命令码输入信号,y为8位译码输出信号。

,当g1与g2均为高电平时,译码器正常工作,译码如上。

生成元件如下:

图2

26

/3

五、预习与思考:

思考:

比较VHDL语言和原理图的设计方法,这两种设计各有哪些优缺点。

原理图设计法-优缺点:

优点:

1)可以与传统的数字电路设计法接轨,即使用传统设计方法得到电路原理图,然后在QuartusⅡ平台完成设计电路的输入、仿真验证和综合,最后下载到目标芯片中。

2)它将传统的电路设计过程的布局布线、绘制印刷电路板、电路焊接、电路加电测试等过程取消,提高了设计效率,降低了设计成本,减轻了设计者的劳动强度。

缺点:

1)原理图设计方法没有实现标准化,不同的EDA软件中的图形处理工具对图形的设计规则、存档格式和图形编译方式都不同,因此兼容性差,难以交换和管理。

2)由于兼容性不好,性能优秀的电路模块的移植和再利用非常困难难以实现用户所希望的面积、速度以及不同风格的综合优化

3)原理图输入的设计方法不能实现真实意义上的自顶向下的设计方案,无法建立行为模型,从而偏离了电子设计自动化最本质的涵义。

VHDL语言设计法-优缺点:

优点:

1)功能强大,灵活性强;

2)不依赖于器件设计;

3)可移植性,因为VHDL是一个标准语言,故VHDL的设计描述可以被不同的工具所支持。

缺点:

1)电路采用高级的简明结构VHDL描述,意味着放弃了对电路门级实现定义的控制;

2)由综合工具生成的逻辑实现效果有时不优化;

3)采用工具的不同导致综合质量不一样。

实验二组合逻辑电路的VHDL语言实现

实验性质:

验证性实验级别:

必做

开课单位:

信息与通信工程学院通信工程系学时:

2学时

一、实验目的:

1、掌握VHDL语言设计基本单元及其构成

26

/4

、掌握用VHDL语言设计基本的组合逻辑电路的方法。

2、掌握VHDL语言的主要描述语句。

3二、实验器材:

XilinxISE计算机、QuartusII软件或三、实验内容:

以下三个内容选择两个完成、用VHDL语言实现八位加法器的设计并实现功能仿真。

(一)VHDL语言实现优先编码器的设计并实现功能仿真

(二)、用VHDL语言实现四选一选择器的设计并实现功能仿真。

(三)、用四、实验步骤:

VHDL语言实现优先编码器的设计并实现功能仿真

(二)、用位二进制输出的优先级编码器为例,位输入,3优先计编码器常用于中断的优先级控制,以8当同时有几个输入有效时,编码。

另外,就可以输出一个对应得3位二进制当其中一个输入有效时,将输出优先级最高的那个输入所对应得二进制编码。

其真值表如下所示:

优先编码器真值表表2

输入二进制编码输出

Y1Y0Input7Input6Input5Input4Input3Input2Input1Input0Y2

xxxxx11xx01

101xxxxx01x

11xx1010xxx

1x001x0x11x

0x10x111x11

01x1x101101

011x0110111

0

0

1

1

1

1

0

x

1

1

1

用VHDL语言实现优先编码器的设计并实现功能仿真验证其功能。

参考程序如下:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYpriorityencoderIS

PORT(input:

INSTD_LOGIC_VECTOR(7DOWNTO0);

y:

OUTSTD_LOGIC_VECTOR(2DOWNTO0));

ENDpriorityencoder;

ARCHITECTURErt1OFpriorityencoderIS

BEGIN

PROCESS(input)

26

/5

BEGIN

IF(input(0)='0')THEN

y<=I;

ELSIF(input

(1)='0')THEN

y<=H;

ELSIF(input

(2)='0')THEN

y<=A;

ELSIF(input(3)='0')THEN

y<=@;

ELSIF(input(4)='0')THEN

y<=;

ELSIF(input(5)='0')THEN

y<=;

ELSIF(input(6)='0')THEN

y<=;

ELSE

y<=

ENDIF;

ENDPROCESS;

ENDrtl;

仿真图如下:

位二进制编码。

另外,3由上图可以看出,当其中一个输入有效时,就可以输出一个对应得将输出优先级最高的那个输入即最低位的输入所对应得二进制编码。

当同时有几个输入有效时,VHDL、用语言实现四选一选择器的设计并实现功能仿真。

(三)

路信选择器常用于信号的切换,四选一选择器可以用于4号的切换。

其真值表如下所示:

四选一真值表表3

数据输入选择输入数据输出

yInput2abInput1Input0Input3

0xx000x

1

x

0

0

x1

x

26

/6

0xx001x

1x101xx

0x0xx01

101x1xx

0xx1x10

1

x

x

1

x

1

1

语言实现四选一选择器的设计并实现功能仿真。

用VHDL参考程序如下:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYmux4IS

PORT(input:

INSTD_LOGIC_VECTOR(3DOWNTO0);

a,b:

INSTD_LOGIC;

y:

OUTSTD_LOGIC);

ENDmux4;

ARCHITECTURErt1OFmux4IS

SIGNALse1:

STD_LOGIC_VECTOR(1DOWNTO0);

BEGIN

se1<=b&a;

PROCESS(input,se1)

BEGIN

IF(se1=

y<=input(0);

ELSIF(se1=)THEN

y<=input

(1);

ELSIF(se1=)THEN

y<=input

(2);

ELSE

y<=input(3);

ENDIF;

ENDPROCESS;

ENDrt1;

实验波形图:

26

/7

为输出,由图可见程序仿真实现了四选一的选择输出。

input为数据输入端,y为选择输入端,b、a六、预习与思考预习:

在实验前编好实验程序,做实验时验证。

语言实验时序逻辑电路的VHDL实验三实验级别:

必做实验性质:

设计性

学时学时:

3开课单位:

信息与通信工程学院通信工程系

一、实验目的:

语言设计基本的时序逻辑电路及仿真。

、掌握用VHDL1VHDL顺序语句和并行语句的异同2、掌握3、掌握触发器同步复位和异步复位的实现方式。

4、掌握软件时钟的加入方法。

5、掌握信号和变量的主要区别。

二、实验器材:

xilinx

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 人文社科 > 法律资料

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1