实验六LED点阵实验文档格式.docx

上传人:b****5 文档编号:18026860 上传时间:2022-12-13 格式:DOCX 页数:14 大小:365.84KB
下载 相关 举报
实验六LED点阵实验文档格式.docx_第1页
第1页 / 共14页
实验六LED点阵实验文档格式.docx_第2页
第2页 / 共14页
实验六LED点阵实验文档格式.docx_第3页
第3页 / 共14页
实验六LED点阵实验文档格式.docx_第4页
第4页 / 共14页
实验六LED点阵实验文档格式.docx_第5页
第5页 / 共14页
点击查看更多>>
下载资源
资源描述

实验六LED点阵实验文档格式.docx

《实验六LED点阵实验文档格式.docx》由会员分享,可在线阅读,更多相关《实验六LED点阵实验文档格式.docx(14页珍藏版)》请在冰豆网上搜索。

实验六LED点阵实验文档格式.docx

方案二:

采用动态扫描法并行输出数据。

所谓动态扫描法实际上是利用人眼的视觉暂留现象而实现的一种显示方法。

(2)方案的比较

方案一的优点在于编程简单且硬件保证无闪烁。

缺点:

硬件利用率低,造成硬件成本较高。

例如一个16*16的LED显示屏,如用8位锁存器,需32个,此外还有32个锁存器口地址所需的译码电路以及LED驱动电路。

显然,这种硬件开销是不能接受的。

方案二采用动态扫描来实现LED电子显示屏的显示过程,软件操作实现简便。

本实验采用了采用动态扫描法并行输出数据的显示方法。

LED点阵的列为扫描选通信号、行为数据输入。

显示采用逐行扫描方式,数据端不断输入数据,列扫描按一定顺序逐行选通,扫描一个周期(16次)产生一帧画面。

图5.1以4×

4共阴LED阵列为例,给出了LED阵列的组合方式,列选通高有效,数据低有效;

数据端输入数据,选通列根据相应数据亮灯,接着送入第二列数据,选通第二列,依次完成一屏的扫描。

图2.6.1LED阵列结构

四、定制ROM的方法

1、建立.mif格式文件:

首先在QuartusFile菜单中选择NEW,在NEW窗中选择MemoryInitializationFile项,单击OK产生ROM数据文件大小选择窗口。

这里选ROM的数据数Number为48,,数据宽Wordsize取16位。

如图所示,将“中兴班”对应数码输入其中即可,如图所示:

保存为adf.mif

2、定制LPM_ROM元件。

在Tools中选择MegaWizardPlug-InManager产生如图界面,选择Createanewcustom项,如图所示

单击next后,选择VHDL CycloneII ROM1-Port如图所示

选择ROM控制线、地址线和数据线,如图所示address[5..0],q[15..0].

接下来按下面步骤操作

单击next出现如下图的对话框,在Filename中输入刚才建立的.mif文件,在Allow…前打勾,并在下面输入ROM1单击next即可

按图单击next

如下图,单击finish即完成了ROM的定制。

打开其生成的VHDL语言文件,编译后生成如下图的模块后即可调用了。

   

五、实验程序

实验总图:

 

分频程序:

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_arith.all;

useieee.std_logic_unsigned.all;

--------------------------------------------------------------------

entityfenpinis

port(clkin:

instd_logic;

--20M时钟输入

clk1:

outstd_logic

);

endfenpin;

architecturebehaveoffenpinis

signalclk:

std_logic:

='

0'

;

begin

process(clkin)

variablecount:

integerrange0to12010:

=0;

ifclkin'

eventandclkin='

1'

then

count:

=count+1;

if(count=12000)then

clk<

=notclk;

--进行24000分频,产生方波

endif;

clk1<

=clk;

endprocess;

endarchitecturebehave;

列选模块程序

libraryieee;

entityheis

port(clk1:

--时钟输入

keyc:

outstd_logic_vector(15downto0);

--点阵列控制

address:

outstd_logic_vector(5downto0)--点阵行地址输出

endhe;

architecturebehaveofheis

signalcdount:

std_logic_vector(3downto0);

signaldount:

std_logic_vector(9downto0);

signalS:

std_logic_vector(1downto0);

process(clk1)--显示时序控制

ifclk1'

eventandclk1='

dount<

=dount+1;

ifdount=510then

ifS>

1then--“中兴班”三个字循环显示的控制,分频数比列选大

S<

="

00"

else

=S+1;

ifcdount<

15then--循环列选

cdount<

=cdount+1;

0000"

process(cdount,S)

casecdountis

when"

=>

keyc<

0000000000000001"

--列选择

0001"

0000000000000010"

0010"

0000000000000100"

0011"

0000000000001000"

0100"

0000000000010000"

0101"

0000000000100000"

0110"

0000000001000000"

0111"

0000000010000000"

1000"

0000000100000000"

1001"

0000001000000000"

1010"

0000010000000000"

1011"

0000100000000000"

1100"

0001000000000000"

1101"

0010000000000000"

1110"

0100000000000000"

1111"

1000000000000000"

whenothers=>

0000000000000000"

endcase;

ifS="

address<

000000"

--行显示中的地址

000001"

000010"

000011"

000100"

000101"

000110"

000111"

001000"

001001"

001010"

001011"

001100"

001101"

001110"

001111"

=null;

elsifS="

01"

then

010000"

--行显示显示兴的地址

010001"

010010"

010011"

010100"

010101"

010110"

010111"

011000"

011001"

011010"

011011"

011100"

011101"

011110"

011111"

10"

100000"

--行显示显示班的地址

100001"

100010"

100011"

100100"

100101"

100110"

100111"

101000"

101001"

101010"

101011"

101100"

101101"

101110"

101111"

六、波形仿真

先分析列选的仿真情况:

如图所示

结合上图,看ROM的输出:

通过与.mif文件对比发现仿真图的输出与其完全一样,所以程序仿

是可以达到实验要求的。

七、实验现象

实验现象:

LED屏上动态的显示“中兴班”三字。

然后改变ROM中数据(通过更替MIF文件),LED屏上产生了不同的动画效果。

达到了实验要求的目的。

八、实验心得

通过本次实验,最主要的收获是学会了如何去定制ROM并将它运用到点阵中去,学会了利用扫描的方法让点阵滚动显示所需的汉字,列选的时间和字与字之间的时间间隔要控制好才能编出显示效果非常好的图形字符。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 军事

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1