VHDL源代码计数器Word格式.docx

上传人:b****3 文档编号:16422835 上传时间:2022-11-23 格式:DOCX 页数:14 大小:14.92KB
下载 相关 举报
VHDL源代码计数器Word格式.docx_第1页
第1页 / 共14页
VHDL源代码计数器Word格式.docx_第2页
第2页 / 共14页
VHDL源代码计数器Word格式.docx_第3页
第3页 / 共14页
VHDL源代码计数器Word格式.docx_第4页
第4页 / 共14页
VHDL源代码计数器Word格式.docx_第5页
第5页 / 共14页
点击查看更多>>
下载资源
资源描述

VHDL源代码计数器Word格式.docx

《VHDL源代码计数器Word格式.docx》由会员分享,可在线阅读,更多相关《VHDL源代码计数器Word格式.docx(14页珍藏版)》请在冰豆网上搜索。

VHDL源代码计数器Word格式.docx

ARCHITECTUREcounterOFfiveteencoutIS

SIGNALcount_int:

std_logic_vector(0to3);

BEGIN

PROCESS(clk,reset)

WAITUNTILrising_edge(clk);

IFreset='

1'

THEN

count_int<

=(OTHERS=>

'

0'

);

ELSIFenable='

IF(count_int="

1110"

)THEN

count_int<

="

0000"

;

ELSE

=count_int1;

--ELSE

--NULL;

--IF(count_int="

1001"

--count_int<

ENDIF;

ENDPROCESS;

count<

=count_int;

--IF(reset='

)then

--q<

---ELSIF(clk'

eventandclk='

=q1;

--IF(q<

---ENDIF;

--IF(reset<

='

)THEN

00"

--ELSIF

--waituntil(clk'

--WAITUNTIL(clk'

EVENTANDclk='

--WAITUNTIL(clock'

EVENTANDclock='

--q<

=q'

--endif;

--count<

=q;

--WAITUNTILclock='

--if(clock'

eventandclock='

)then

--WAITUNTILrising_edge(clock);

--clock'

--count<

=0;

--WAITriseedgeclock='

=1;

--WAITUNTILclock='

=2;

--ENDPROCESS;

ENDcounter;

十四计数器

ENTITYfourteencoutIS

ENDfourteencout;

ARCHITECTUREcounterOFfourteencoutIS

1101"

ELSE

十三计数器

ENTITYthireteencoutIS

ENDthireteencout;

ARCHITECTUREcounterOFthireteencoutIS

1100"

十二计数器

ENTITYtwelvecoutIS

ENDtwelvecout;

ARCHITECTUREcounterOFtwelvecoutIS

1011"

十一计数器

ENTITYelevencoutIS

ENDelevencout;

ARCHITECTUREcounterOFelevencoutIS

1010"

 

十计数器

ENTITYcountIS

ENDcount;

ARCHITECTUREcounterOFcountIS

九计数器

ENTITYninecoutIS

ENDninecout;

ARCHITECTUREcounterOFninecoutIS

1000"

EVENTANDclock=

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 小学教育 > 数学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1