多功能数字钟EDA技术与应用.docx

上传人:b****3 文档编号:1566710 上传时间:2022-10-23 格式:DOCX 页数:13 大小:220.34KB
下载 相关 举报
多功能数字钟EDA技术与应用.docx_第1页
第1页 / 共13页
多功能数字钟EDA技术与应用.docx_第2页
第2页 / 共13页
多功能数字钟EDA技术与应用.docx_第3页
第3页 / 共13页
多功能数字钟EDA技术与应用.docx_第4页
第4页 / 共13页
多功能数字钟EDA技术与应用.docx_第5页
第5页 / 共13页
点击查看更多>>
下载资源
资源描述

多功能数字钟EDA技术与应用.docx

《多功能数字钟EDA技术与应用.docx》由会员分享,可在线阅读,更多相关《多功能数字钟EDA技术与应用.docx(13页珍藏版)》请在冰豆网上搜索。

多功能数字钟EDA技术与应用.docx

多功能数字钟EDA技术与应用

课程名称:

EDA技术及应用成绩:

 

课程设计

 

课程设计题目:

多功能数字钟

 

学生姓名:

学号:

 

学校指导教师姓名:

所在班级:

日期:

摘要

设计时采用了层次设计思想,功能逐级递加,并在封装时留有很多外围接口,以备增加其他新功能。

实验主要包含三个主体----时钟基本功能电路、闹钟电路、万年历电路。

主体一:

主要涉及模60与模24计数器、动态显示控制电路、分频器主要整点报时电路,

这些电路都是以模块封装好的,以便其他电路调用。

以计数器构成计时部件,通过分频器分出的1HZ脉冲计时,调用动态显示电路显示,通过整点报时电路控制蜂鸣器。

在计数器级联时采用内部同步外部异步的方式,但通过简单的改变达到了同步的效果而且比同步还可靠。

显示控制时为了节约资源采用动态原理,自编了VHDL语言的24选4数据选择器。

主体二:

主要涉及模60与模24计数器、显示控制电路、14-7同或门。

以模60与模24计数器构成定时与存储电路,调用动态显示控制电路显示,通过14-7同或门和后续与门控制蜂鸣器。

主体三:

主要涉及模100、模12与模30计数器、动态显示电路。

以计数器构成计时部件,调用动态显示电路显示。

最后由于功能中涉及的开关太多,我们采用了两种复用原理,给出了两种复用思想。

关键词:

多功能数字时钟;层次设计;整点报时;VHDL语言

Summary

Corpus one:

 Mainly involve a mold 60 with mold 24 count machine, dynamic state to show a control electric circuit, cent repeatedly machine , a little bit whole tell the time electric circuit, These electric circuits are all packed with mold piece okay, for the purpose of other electric circuits adjust to use. With count machine composing to account parts, pass a cent repeatedly the machine divides of when the 1 HZ pulse accounts adjust to show that the electric circuit suggests and passes a little bit whole buzzer that tell the time an electric circuit control with the dynamic state. BE counting the way that the machine class adopted synchronously external different step in the inner part while uniting, but came to a synchronous effect through a simple change and than synchronously returned credibility. While showing a control for economizing a resources adoption dynamic state principle, from wove VHDL language of 24 choose 4 data selectors. Corpus two:

 Mainly involve a mold 60 with mold 24 count  machine, show control electric circuit, 14-7 together or door. With mold 60 with mold 24 count machine composing in fixed time with saving electric circuit, adjust to show control electric circuit to suggest with the dynamic state, pass 14-7 together or door and follow-up and door control buzzer. Corpus three:

 Mainly involve a mold 100, mold 12 with mold 30 count a machine, dynamic state to show electric circuit. With count the machine composing accounts parts, adjust to show that the electric circuit shows with the dynamic state. Finally because of too many switch involving in the function, we adopted 2 kinds to reply to use principle and gave 2 kinds reply to use thought.  

Key word:

 multi-function digital ;clockSynchronous;  ten thousand calendars,;The language of VHDL

摘要I

SummaryII

引言1

1设计说明2

1.1功能说明:

2

1.2功能简介2

1.3开关功能简介(两种功能)3

a.简单复用(方便,易操作,但开关太多—7个)3

2方案论证4

2.1总体方案分析4

2.1.1控制电路4

2.1.2功能电路4

2.2电路的工作原理4

3各子模块设计原理7

3.1脉冲分频模块7

3.1.1三分频电路7

3.1.248分频电路7

3.1.31000分频电路8

3.2时钟计时模块9

3.2.1模60计数器9

3.2.2模24计数器10

3.3显示控制电路10

3.4显示模块和计时模块结合12

4调试与仿真14

4.1脉冲发生模块14

4.2计时电路15

5仿真16

结论17

致谢18

参考文献19

引言

随着科学技术的发展,现代电子设计技术已进入一个全新的阶段,传统的电子设计方法、工具和器件在更大的程度上被EDA所取代。

在EDA技术中,最为瞩目的是以现代电子技术为特征的逻辑设计仿真测试技术,该技术的出现,使电子系统设计发生了质的变化,设计速度快、体积小、重量轻、功耗小的集成电路已成为趋势。

本文利用EDA技术,选用ALTERA公司的CPLD器件EPF10K10LC84-4和软件MAX+PLUS2,设计了一个多功能数字钟,提高了系统的整体性能和可靠性,并通过编译、仿真、下载,经验证后已满足要求。

1设计说明

1.1功能说明:

利用QuartusII软件设计一个数字钟,对设计电路进行功能仿真,并下载到SmartSOPC实验系统中,可以完成00:

00:

00到23:

59:

59的计时功能,并在控制电路的作用下具有保持、清零、快速校时、快速校分、整点报时等功能。

本电路在原有基础上进行了拓展,具备以下功能:

①.能进行正常的时、分、秒计时功能;

②.锁死功能--锁死状态下时钟保持不变;

③.整点报时功能---当时钟计到59’53”时开始报时,在59’53”,59’55”,59’57”时报时频率为512Hz,59’59”时报时频率为1KHz,;

④.清零功能—-时钟计时电路和万年历电路;

⑤.快速校时,校分功能---校定时其他计时电路保持;

⑥.闹表功能—-定时精确到分,闹钟设计响一分钟,可关闭;

⑦.万年历---可显示XX年XX月XX日,可快速校定到正确的日期;

1.2功能简介

本电路具有三种不同的工作状态(同步工作),可通过模式键进行切换(可通过LED1、LED2观察当前的模式)。

a、正常计时状态(K7、K8都为一):

此状态下数码管显示当前的时间,K1为校分开关,K2为校时开关,K4为清零开关,校分、校时都是以2HZ的信号进行快速到位的。

b、闹表状态(K7=1、K8=0):

此状态下数码管显示当前闹定的时间,K1为设定分钟的开关,K2为设定小时开关,清零开关无用--K4。

c、万年历状态(K7=X、K8=1):

此状态下数码管显示当前的年月日,K1为设定日期开关,K2为设定月开关,K3为设定年开关、K4为清零开关。

d、锁死状态(K5=0):

此状态下所有电路都保持原状态(不给电路送脉冲)。

1.3开关功能简介(两种功能)

a.简单复用(方便,易操作,但开关太多—7个)

各种操作的开关状态

1

★★★★○★★

锁死状态

2

●○★○●●●

校分状态

3

○●★○●●●

校时状态

4

○○★●●●●

时钟清零

5

●○★★●●○

设定闹钟分

6

○●★★●●○

设定闹钟时

7

●○○○●○★

设定万年历天

8

○●○○●○★

设定万年历月

9

○○●○●○★

设定万年历年

10

○○○●●○★

万年历清零

b.带计数器的复用

通过上面的介绍我们可以清楚的看到电路总共有10种工作状态,但这里要把锁死状态单独考虑,所以总共我们就可以通过控制一个摸十或摸九的计数器,再把结果输送给一个数据分配器,在不同数值时把开关状态输送到不同的控制口,计数器数值可以通过LED灯观测。

这样我们总共使用三个开关就可以控制整个电路----锁死键、模式键、控制键。

(具体电路见下面分析)

 

2方案论证

2.1总体方案分析

2.1.1控制电路

为了显示当前时钟时间,我们需要一个显示电路。

校分、校时、清零电路只需要输入一些控制信号给时钟计时电路即可,当然这些控制信号是由开关提供的。

要实现整点报时功能,一个报时控制电路是必不可少的。

这是只含基本功能的时钟电路所包含的子电路。

2.1.2功能电路

为了实现我们加入的闹钟功能,我们需要一个闹钟时间设定电路;闹钟时间保持电路;比较电路;蜂鸣器控制电路;闹表时间显示电路。

因为我们只含有一个数码显示器,一般状态下显示的是时钟,所以我们需要一个显示模式切换电路。

万年历电路需要由计时电路提供计时脉冲,脉冲输送给一个由模30、模12、模100级联而成计时电路。

同样万年历电路需要一个时间调整电路、显示电路、显示模式切换电路。

2.2电路的工作原理

电路总图如下(电路进行了封装):

图2电路总图

首先由分频器把原来的48MHZ的脉冲用进行分频得到1Khz、500hz、2hz、1hz的脉冲。

1hz的脉冲送到时钟计时电路秒位的clk输入口;秒位的进位信号和2hz脉冲通过开关的选择输送到分位电路的时钟输入口,前者用于正常计时,后者由于校分时;分位的进位信号和2hz脉冲通过开关的选择输送到时位电路的时钟输入口,前者用于正常计时,后者由于校时。

把三个计数器的输出输送给一个24选4的数据选择器,六组分别为秒个位、秒十位、分个位、分十位、时个位、时十位。

数据选择器输入信号由一个模八的计数器提供,这个模八的计数器的输出同时送给一74138译码器。

数据选择器的输出送入7447段译码器的输入口,74138的的输出由于选择显示器的位,7447的输出用于段的显示,这样就实

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 人文社科 > 法律资料

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1