ImageVerifierCode 换一换
格式:DOCX , 页数:13 ,大小:220.34KB ,
资源ID:1566710      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/1566710.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(多功能数字钟EDA技术与应用.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

多功能数字钟EDA技术与应用.docx

1、多功能数字钟EDA技术与应用课程名称:EDA技术及应用 成 绩: 课程设计课程设计题目:多功能数字钟学生姓名: 学号: 学校指导教师姓名: 所在班级: 日期: 摘 要设计时采用了层次设计思想,功能逐级递加,并在封装时留有很多外围接口,以备增加其他新功能。实验主要包含三个主体-时钟基本功能电路、闹钟电路、万年历电路。主体一:主要涉及模60与模24计数器、动态显示控制电路、分频器主要整点报时电路,这些电路都是以模块封装好的,以便其他电路调用。以计数器构成计时部件,通过分频器分出的1HZ脉冲计时,调用动态显示电路显示,通过整点报时电路控制蜂鸣器。在计数器级联时采用内部同步外部异步的方式,但通过简单的

2、改变达到了同步的效果而且比同步还可靠。显示控制时为了节约资源采用动态原理,自编了VHDL语言的24选4数据选择器。主体二:主要涉及模60与模24计数器、显示控制电路、14-7同或门。以模60与模24计数器构成定时与存储电路,调用动态显示控制电路显示,通过14-7同或门和后续与门控制蜂鸣器。主体三:主要涉及模100、模12与模30计数器、动态显示电路。以计数器构成计时部件,调用动态显示电路显示。 最后由于功能中涉及的开关太多,我们采用了两种复用原理,给出了两种复用思想。 关键词:多功能数字时钟;层次设计;整点报时;VHDL语言Summary Corpusone:Mainlyinvolveamol

3、d60withmold24countmachine,dynamicstatetoshowacontrolelectriccircuit,centrepeatedlymachine,alittlebitwholetellthetimeelectriccircuit, Theseelectriccircuitsareallpackedwithmoldpieceokay,forthepurposeofotherelectriccircuitsadjusttouse.Withcountmachinecomposingtoaccountparts,passacentrepeatedlythemachin

4、edividesofwhenthe1HZpulseaccountsadjusttoshowthattheelectriccircuitsuggestsandpassesalittlebitwholebuzzerthattellthetimeanelectriccircuitcontrolwiththedynamicstate.BEcountingthewaythatthemachineclassadoptedsynchronouslyexternaldifferentstepintheinnerpartwhileuniting,butcametoasynchronouseffectthroug

5、hasimplechangeandthansynchronouslyreturnedcredibility.Whileshowingacontrolforeconomizingaresourcesadoptiondynamicstateprinciple,fromwoveVHDLlanguageof24choose4dataselectors. Corpustwo:Mainlyinvolveamold60withmold24countmachine,showcontrolelectriccircuit,14-7togetherordoor.Withmold60withmold24countma

6、chinecomposinginfixedtimewithsavingelectriccircuit,adjusttoshowcontrolelectriccircuittosuggestwiththedynamicstate,pass14-7togetherordoorandfollow-upanddoorcontrolbuzzer. Corpusthree:Mainlyinvolveamold100,mold12withmold30countamachine,dynamicstatetoshowelectriccircuit.Withcountthemachinecomposingacco

7、untsparts,adjusttoshowthattheelectriccircuitshowswiththedynamicstate. Finallybecauseoftoomanyswitchinvolvinginthefunction,weadopted2kindstoreplytouseprincipleandgave2kindsreplytousethought. Keyword:multi-functiondigital;clockSynchronous;tenthousandcalendars, ;ThelanguageofVHDL摘 要 ISummary II引 言 11设计

8、说明 21.1功能说明: 21.2 功能简介 21.3 开关功能简介(两种功能) 3a.简单复用(方便,易操作,但开关太多7个) 32 方案论证 42.1总体方案分析 42.1.1 控制电路 42.1.2 功能电路 42.2 电路的工作原理 43 各子模块设计原理 73.1 脉冲分频模块 73.1.1三分频电路 73.1.2 48分频电路 73.1.3 1000分频电路 83.2 时钟计时模块 93.2.1 模60计数器 93.2.2 模24计数器 103.3 显示控制电路 103.4 显示模块和计时模块结合 124 调试与仿真 144.1 脉冲发生模块 144.2 计时电路 155 仿真 1

9、6结 论 17致 谢 18参 考 文 献 19引 言随着科学技术的发展,现代电子设计技术已进入一个全新的阶段,传统的电子设计方法、工具和器件在更大的程度上被EDA所取代。在EDA技术中,最为瞩目的是以现代电子技术为特征的逻辑设计仿真测试技术,该技术的出现,使电子系统设计发生了质的变化,设计速度快、体积小、重量轻、功耗小的集成电路已成为趋势。本文利用EDA技术,选用ALTERA公司的CPLD器件EPF10K10LC84-4和软件MAX+PLUS2,设计了一个多功能数字钟,提高了系统的整体性能和可靠性,并通过编译、仿真、下载,经验证后已满足要求。1设计说明1.1功能说明:利用QuartusII软件

10、设计一个数字钟,对设计电路进行功能仿真,并下载到SmartSOPC实验系统中,可以完成00:00:00到23:59:59的计时功能,并在控制电路的作用下具有保持、清零、快速校时、快速校分、整点报时等功能。本电路在原有基础上进行了拓展,具备以下功能:. 能进行正常的时、分、秒计时功能; 锁死功能-锁死状态下时钟保持不变;. 整点报时功能-当时钟计到5953”时开始报时,在5953”, 5955”,5957” 时报时频率为512Hz,5959”时报时频率为1KHz, ;. 清零功能-时钟计时电路和万年历电路; 快速校时,校分功能-校定时其他计时电路保持; 闹表功能-定时精确到分,闹钟设计响一分钟,

11、可关闭; 万年历-可显示XX年XX月XX日,可快速校定到正确的日期;1.2 功能简介本电路具有三种不同的工作状态(同步工作),可通过模式键进行切换(可通过LED1、LED2观察当前的模式)。a、 正常计时状态(K7、K8都为一):此状态下数码管显示当前的时间,K1为校分开关,K2为校时开关,K4为清零开关,校分、校时都是以2HZ的信号进行快速到位的。b、 闹表状态(K7=1、K8=0):此状态下数码管显示当前闹定的时间,K1为设定分钟的开关,K2为设定小时开关,清零开关无用- K4。c、 万年历状态(K7=X、K8=1):此状态下数码管显示当前的年月日,K1为设定日期开关,K2为设定月开关,K

12、3为设定年开关、K4为清零开关。d、 锁死状态(K5=0):此状态下所有电路都保持原状态(不给电路送脉冲)。1.3 开关功能简介(两种功能)a.简单复用(方便,易操作,但开关太多7个)各种操作的开关状态1锁死状态2校分状态3校时状态4时钟清零5设定闹钟分6设定闹钟时7设定万年历天8设定万年历月9设定万年历年10万年历清零b带计数器的复用通过上面的介绍我们可以清楚的看到电路总共有10种工作状态,但这里要把锁死状态单独考虑,所以总共我们就可以通过控制一个摸十或摸九的计数器,再把结果输送给一个数据分配器,在不同数值时把开关状态输送到不同的控制口,计数器数值可以通过LED灯观测。这样我们总共使用三个开

13、关就可以控制整个电路-锁死键、模式键、控制键。(具体电路见下面分析)2 方案论证2.1总体方案分析2.1.1 控制电路为了显示当前时钟时间,我们需要一个显示电路。校分、校时、清零电路只需要输入一些控制信号给时钟计时电路即可,当然这些控制信号是由开关提供的。要实现整点报时功能,一个报时控制电路是必不可少的。这是只含基本功能的时钟电路所包含的子电路。2.1.2 功能电路为了实现我们加入的闹钟功能,我们需要一个闹钟时间设定电路;闹钟时间保持电路;比较电路;蜂鸣器控制电路;闹表时间显示电路。因为我们只含有一个数码显示器,一般状态下显示的是时钟,所以我们需要一个显示模式切换电路。万年历电路需要由计时电路

14、提供计时脉冲,脉冲输送给一个由模30、模12、模100级联而成计时电路。同样万年历电路需要一个时间调整电路、显示电路、显示模式切换电路。2.2 电路的工作原理电路总图如下(电路进行了封装):图2 电路总图首先由分频器把原来的48MHZ的脉冲用进行分频得到1Khz、500hz、2hz、1hz的脉冲。1hz的脉冲送到时钟计时电路秒位的clk输入口;秒位的进位信号和2hz脉冲通过开关的选择输送到分位电路的时钟输入口,前者用于正常计时,后者由于校分时;分位的进位信号和2hz脉冲通过开关的选择输送到时位电路的时钟输入口,前者用于正常计时,后者由于校时。把三个计数器的输出输送给一个24选4的数据选择器,六组分别为秒个位、秒十位、分个位、分十位、时个位、时十位。数据选择器输入信号由一个模八的计数器提供,这个模八的计数器的输出同时送给一74138译码器。数据选择器的输出送入7447段译码器的输入口,74138的的输出由于选择显示器的位,7447的输出用于段的显示,这样就实

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1