DAC接口电路及程序设计.docx

上传人:b****7 文档编号:9204323 上传时间:2023-02-03 格式:DOCX 页数:11 大小:159.15KB
下载 相关 举报
DAC接口电路及程序设计.docx_第1页
第1页 / 共11页
DAC接口电路及程序设计.docx_第2页
第2页 / 共11页
DAC接口电路及程序设计.docx_第3页
第3页 / 共11页
DAC接口电路及程序设计.docx_第4页
第4页 / 共11页
DAC接口电路及程序设计.docx_第5页
第5页 / 共11页
点击查看更多>>
下载资源
资源描述

DAC接口电路及程序设计.docx

《DAC接口电路及程序设计.docx》由会员分享,可在线阅读,更多相关《DAC接口电路及程序设计.docx(11页珍藏版)》请在冰豆网上搜索。

DAC接口电路及程序设计.docx

DAC接口电路及程序设计

附件1:

学号:

20507

课程设计

 

题目

DAC0832接口电路

及程序设计

学院

信息工程学院

专业

通信工程

班级

信息sy1101

姓名

芦启超

指导教师

陈适

2014年6月18日

课程设计任务书

学生姓名:

芦启超专业班级:

信息sy1101

指导教师:

陈适工作单位:

信息工程学院

题目:

DAC0832接口电路及程序设计

初始条件:

(1)QuartusII、ISE等软件;

(2)课程设计辅导书:

《XilinxFPGA设计与实践教程》

(3)先修课程:

数字电子技术、模拟电子技术、通信原理

主要任务:

(1)掌握DAC0832接口电路及程序设计的基本原理;

(2)掌握仿真软件QuartusII的使用方法;

(3)完成对DAC0832接口电路设计,并对仿真结果进行分析。

时间安排:

(1)2014年6月11日--2014年6月18日理论设计、仿真设计

地点:

鉴主13楼通信工程综合实验室、鉴主15楼通信工程实验室。

(2)2014年6月18日进行理论答辩。

指导教师签名:

年月日系主任(或责任教师)签名:

年月日

摘要

本次课程设计是使用Quartusii进行仿真,基于VHDL硬件描述语言,完成了DAC0832接口电路及程序的设计。

DAC0832是采用CMOS/Si-Cr工艺实现的8位D/A转换器。

该芯片包含8位输入寄存器、8位DAC寄存器、8位D/A转换器,可以实现D/A转换。

本次课程设计主要研究的是VHDL语言,尝试DAC0832接口电路的设计与仿真,学会Quartusii的基本使用,加强对FPGA的理解。

关键词:

DAC0832;VHDL;Quartusii

Abstract

ThecurriculumdesignuseQuartusiitosimulate,whichisbasedontheVHDLhardwaredescriptionlanguageandcompletethedesignofDAC0832interfacecircuitandprogram.DAC0832isa8bitD/AconverterwithCMOS/Si-Crtechnology.Thechipcontains8bitinputregister,a8bitDACregister,a8bitD/Aconverter,canrealizeD/Aconversion.

StudydesignthiscourseistheVHDLlanguage,trytodesignandSimulationoftheDAC0832interfacecircuit,learnhowtouseQuartusiibasicly,strengthentheunderstandingofFPGA.

KeyWords:

DAC0832;VHDL;Quartusii

1基本原理

1.1系统背景

现场可编程逻辑门阵列FPGA,与PAL、GAL器件相比,他的优点是可以实时地对外加或内置得RAM或PROM编程,实施地改变迄今功能,实现现场可编程(基于EPROM型)或在线重配置(基于RAM型)。

是科学试验、演技研制、小批量产品生产的最佳选择其间。

自上世纪70年代单片机问世以来,它以其体积小、控制功能齐全、价格低廉等特点赢得了广泛的好评与应用。

由单片机构成的应用系统有有体积小、功耗低控制功能强的特点,它用利于产品的小型化、多功能化和智能化,还有助与提高仪表的精度和准确度,简化结构、减小体积与重量,便于携带与使用,降低成本,增强抗干扰能力,便于增加显示、报警和诊断功能。

因而许多现代仪器仪表都用到了FPGA

DAC0832是8分辨率的D/A转换集成芯片。

与微处理器完全兼容。

这个D/A芯片以其价格低廉、接口简单、转换控制容易等优点,在单片机应用系统中得到广泛的应用。

1.2FPGA最小系统简介

图1.1FPGA最小系统

FPGA最小系统是可以使FPGA正常工作的最简单的系统。

它的外围电路尽量最少,只包括FPGA必要的控制电路。

一般所说的FPGA的最小系统主要包括:

FPGA芯片、下载电路、外部时钟、复位电路和电源。

如果需要使用NIOSII软嵌入式处理器还要包括:

SDRAM和Flash。

一般以上这些组件是FPGA最小系统的组成部分。

如图1.1所示。

1.3DAC0832简介

图1.2DAC0832内部结构图

DAC0832是采样频率为八位的D/A转换芯片,集成电路内有两级输入寄存器,使DAC0832芯片具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。

所以这个芯片的应用很广泛,关于DAC0832应用的一些重要资料见下图:

D/A转换结果采用电流形式输出。

若需要相应的模拟电压信号,可通过一个高输入阻抗的线性运算放大器实现。

运放的反馈电阻可通过RFB端引用片内固有电阻,也可外接。

DAC0832逻辑输入满足TTL电平,可直接与TTL电路或微机电路连接。

其内部结构如图1.2所示。

1.4DAC0832接口电路设计

DAC0832中有两级锁存器,第一级即输入寄存器,第二级即DAC寄存器,可以工作在双缓冲方式下。

其接口电路如图1.3所示:

图1.3FPGA与DAC0832接口电路原理图

FPGA_IO1~8向DAC0832的数据输入口(DI0~DI7)输送数据。

FPGA_IO9提供DAC0832数据锁存允许控制信号ILE,高电平有效。

FPGA_IO10提供DAC0832控制信号(CS:

片选信号;Xfer:

数据传输控制信号;WR1、WR2:

DAC寄存器写选通信号),低电平有效。

Iout1、Iout2、Rfb与运算放大器LM324完成电流/电压的转换(DAC0832属电流输出型)。

1.5DAC0832接口电路程序设计

1.5.1DAC0832时序

根据DAC0832输出控制时序,利用接口电路图,通过改变输出数据设计一个锯齿波发生器。

DAC0832是8位的D/A转换器,转换周期为1μs。

锯齿波形数据可以由256个点构成,每个点的数据长度为8位。

又因为FPGA的系统时钟为50MHz,必须对其进行分频处理,这里进行64分频,得到的锯齿波的频率为762.9Hz。

图1.4为DAC0832输出控制时序图。

图1.4DAC0832输出控制时序图

1.5.2DAC0832接口电路输出控制程序

DAC0832接口电路程序如下:

--文件名:

DAC0832.VHD

--功能:

产生频率为762.9Hz的锯齿波。

--最后修改日期:

2014.6.15

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

useieee.std_logic_arith.all;

entityDAC0832is

port(clk:

instd_logic;--系统时钟

rst:

instd_logic;--复位信号

ile:

outstd_logic;--数据锁存允许信号

cont:

outstd_logic;--控制信号(WR1、WR2、CS、Xfer)

data_out:

outstd_logic_vector(7downto0));--波形数据输出

endDAC0832;

architecturebehavofDAC0832is

signalq:

integerrange0to63;--计数器

signaldata:

std_logic_vector(7downto0);--波形数据

Begin

process(clk)

begin

ifrst='1'thenq<=0;--复位,对计数器q清零

elsifclk'eventandclk='1'then

ifq=63thenq<=0;--此IF语句对系统时钟进行64分频

ifdata="11111111"thendata<="00000000";

--此IF语句产生锯齿波波形数据

elsedata<=data+1;

endif;

elseq<=q+1;

endif;

endif;

endprocess;

ile<='1';cont<='0';data_out<=data;--ile、cont赋值;波形数据输出;

endbehav;

2仿真结果与分析

2.1关于QuartusII软件

Max+plusII作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。

目前Altera已经停止了对Max+plusII的更新支持。

QuartusII是Altera公司继Max+plusII之后开发的一种针对其公司生产的系列CPLD/PGFA器件的综合性开发软件,它的版本不断升级,从4.0版到10.0版,这里介绍的是QuartusII8.0版,该软件有如下几个显著的特点:

2.1.1QuartusII的优点

该软件界面友好,使用便捷,功能强大,是一个完全集成化的可编程逻辑设计环境,是先进的EDA工具软件。

该软件具有开放性、与结构无关、多平台、完全集成化、丰富的设计库、模块化工具等特点,支持原理图、VHDL、VerilogHDL以及AHDL(AlteraHardwareDescriptionLanguage)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

QuartusII可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。

具有运行速度快,界面统一,功能集中,易学易用等特点。

2.1.2QuartusII对器件的支持

QuartusII支持Altera公司的MAX3000A系列、MAX7000系列、MAX9000系列、ACEX1K系列、APEX20K系列、APEXII系列、FLEX6000系列、FLEX10K系列,支持MAX7000/MAX3000等乘积项器件。

支持MAXIICPLD系列、Cyclone系列、CycloneII、StratixII系列、StratixGX系列等。

支持IP核,包含了LPM/MegaFunction宏功能模块库,用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。

此外,QuartusII通过和DSPBuilder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera

的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。

2.1.3QuartusII对第三方EDA工具的支持

对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三放EDA工具。

Altera的QuartusII可编程逻辑软件属于第四代PLD开发平台。

该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。

Quartus平台与Cadence、ExemplarLogic、MentorGraphics、Synopsys和Synplicity等EDA供应商的开发工具相兼容。

改进了软件的LogicLock模块设计功能,增添了FastFit编译选项,推进了网络编辑性能,而且提升了调试能力。

用户首先对所做项目进行设计,明确设计目的,设计要求。

然后利用原理图输入方式或程序输入方式进行设计输入。

输入完成后进行编译,若编译过程中发现错误,则应检查设计输入以修改错误,直至没有错误产生。

编译完成后进行仿真,检查是否达到设计要求,若未到达要求,需重新检查设计输入及编译过程,不断迭代,收敛直至满足设计要求。

最后将设计配置到目标器件中进行硬件验证与测试。

为设计者提供了完善的设计环境,适于模块的层次化设计方法。

2.2输出仿真结果

输出波形如图2.1所示:

图2.1输出波形图

数据流分析结果如图2.2所示:

由仿真结果我们可以看出,,复位后计数器q在每个时钟周期计数一次,基本基本达到设计要求。

由此可见,我们的仿真是正确的。

 

3结论

FPGA与DAC的结合使得D/A转换的效率大大提高,还可以运用到多个方面。

本文基于FPGA实现DAC0832的接口电路,更加深入的了解了两种芯片的功能。

在实际运用中,可以将DAC部分集成到一块芯片上,这样即提高了FPGA内部结构的利用率,又可以降低系统的成本。

而且,通过本次课程设计,我了解的FPGA与DAC0832接口电路的功能,认识到可以将其推广到更多的地方,比如基于FPGA和DAC0832的数字滤波器和程控放大器等等。

这说明了本电路的基础性和广泛的运用性。

通过这次设计让我受益匪浅。

总结与体会

这次的课程设计主要是让我们学习使用Quartusii,利用VHDL语言来实现MFSK的设计和仿真。

经过这次课程设计,我更进一步的加强了对FPGA的认识,学会了对VHDL语言的基本运用,加深了对MFSK的理解,发现了他的神奇。

一开始,我从最基本的VHDL语言学起,一步一步逐渐学会Quartusii的应用。

经过查阅了相关资料,慢慢的学会了DAC0832电路的设计,大大提升了我们对FPGA的兴趣。

我觉得通过这次课设之后,我发现FPGA强大的功能,决定继续深入的学习这个软件。

参考文献

[1]VHDL数字电路设计教程/(巴西)佩德罗尼著;乔卢峰译./北京:

电子工业出版社,2013.1

[2]电子设计自动化应用技术—FPGA应用篇/路而红主编./北京:

高等教育出版社,2009.11

[3]张学平,王应生等.基于FPGA的OQPSK解调器的设计与实现[J].微计算机信息,2006,4-2:

155-157

[4]黄智伟,FPGA系统设计与实践[M].北京:

电子工业出版社,2005

[5]董在望,通信电路原理[M].北京:

高等教育出版社,2002[4]侯伯亨顾新.VHDL硬件描述语言与数字逻辑电路设计.[M].西安.西安电子科技大学出版社,1999

本科生课程设计成绩评定表

姓名

芦启超

性别

专业、班级

信息sy1101班

课程设计题目:

DAC0832接口电路及程序设计

课程设计答辩或质疑记录:

成绩评定依据:

最终评定成绩(以优、良、中、及格、不及格评定)

指导教师签字:

年月日

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 文学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1