ImageVerifierCode 换一换
格式:DOCX , 页数:11 ,大小:159.15KB ,
资源ID:9204323      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/9204323.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(DAC接口电路及程序设计.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

DAC接口电路及程序设计.docx

1、DAC接口电路及程序设计附件1:学 号: 20507课 程 设 计题 目DAC0832接口电路及程序设计学 院信息工程学院专 业通信工程班 级信息sy1101 姓 名芦启超指导教师陈适2014年 6月18日课程设计任务书学生姓名: 芦启超 专业班级: 信息sy1101 指导教师: 陈适 工作单位: 信息工程学院 题 目: DAC0832接口电路及程序设计 初始条件:(1) Quartus II、ISE 等软件;(2)课程设计辅导书:Xilinx FPGA 设计与实践教程(3)先修课程:数字电子技术、模拟电子技术、通信原理主要任务:(1)掌握DAC0832接口电路及程序设计的基本原理; (2)掌

2、握仿真软件Quartus II的使用方法; (3)完成对DAC0832接口电路设计,并对仿真结果进行分析。时间安排:(1)2014 年6月11日-2014 年6月18日理论设计、仿真设计 地点:鉴主13 楼通信工程综合实验室、鉴主15 楼通信工程实验室。(2)2014 年6 月18 日进行理论答辩。指导教师签名: 年 月 日 系主任(或责任教师)签名: 年 月 日 摘 要本次课程设计是使用Quartus ii 进行仿真,基于VHDL硬件描述语言,完成了DAC0832接口电路及程序的设计。DAC0832是采用CMOS/Si-Cr工艺实现的8位D/A转换器。该芯片包含8位输入寄存器、8位DAC寄存

3、器、8位D/A转换器,可以实现D/A转换。本次课程设计主要研究的是VHDL语言,尝试DAC0832接口电路的设计与仿真,学会Quartus ii 的基本使用,加强对FPGA的理解。关键词:DAC0832;VHDL;Quartus iiAbstractThe curriculum design use Quartus ii to simulate, which is based on the VHDL hardware description language and complete the design of DAC0832 interface circuit and program. DA

4、C0832 is a 8 bit D/A converter with CMOS/Si-Cr technology. The chip contains 8 bit input register, a 8 bit DAC register, a 8 bit D/A converter, can realize D/A conversion.Study design this course is the VHDL language, try to design and Simulation of the DAC0832 interface circuit, learn how to use Qu

5、artus ii basicly, strengthen the understanding of FPGA.Key Words:DAC0832;VHDL;Quartus ii1 基本原理1.1 系统背景现场可编程逻辑门阵列FPGA,与PAL、GAL器件相比,他的优点是可以实时地对外加或内置得RAM或PROM编程,实施地改变迄今功能,实现现场可编程(基于EPROM型)或在线重配置(基于RAM型)。是科学试验、演技研制、小批量产品生产的最佳选择其间。自上世纪70年代单片机问世以来,它以其体积小、控制功能齐全、价格低廉等特点赢得了广泛的好评与应用。由单片机构成的应用系统有有体积小、功耗低控制功能强

6、的特点,它用利于产品的小型化、多功能化和智能化,还有助与提高仪表的精度和准确度,简化结构、减小体积与重量,便于携带与使用,降低成本,增强抗干扰能力,便于增加显示、报警和诊断功能。因而许多现代仪器仪表都用到了FPGADAC0832是8分辨率的D/A转换集成芯片。与微处理器完全兼容。这个D/A芯片以其价格低廉、接口简单、转换控制容易等优点,在单片机应用系统中得到广泛的应用。1.2 FPGA最小系统简介图1.1 FPGA最小系统FPGA最小系统是可以使FPGA正常工作的最简单的系统。它的外 围电路尽量最少,只包括FPGA必要的控制电路。一般所说的FPGA的最小系统主要包括:FPGA芯片、下载电路、外

7、部时钟、复位电路和电源。如果需要使用NIOS II软嵌入式处理器还要包括:SDRAM和Flash。一般以上这些组件是FPGA最小系统的组成部分 。如图1.1所示。1.3 DAC0832简介图1.2 DAC0832内部结构图DAC0832是采样频率为八位的D/A转换芯片,集成电路内有两级输入寄存器,使DAC0832芯片具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。所以这个芯片的应用很广泛,关于DAC0832应用的一些重要资料见下图: D/A转换结果采用电流形式输出。若需要相应的模拟电压信号,可通过一个高输入阻抗的线性运算放大器实现。运放的反

8、馈电阻可通过RFB端引用片内固有电阻,也可外接。DAC0832逻辑输入满足TTL电平,可直接与TTL电路或微机电路连接。其内部结构如图1.2所示。1.4 DAC0832 接口电路设计DAC0832中有两级锁存器,第一级即输入寄存器,第二级即DAC寄存器,可以工作在双缓冲方式下。 其接口电路如图1.3所示:图1.3 FPGA与DAC0832接口电路原理图 FPGA_IO18向DAC0832的数据输入口(DI0DI7)输送数据。FPGA_IO9提供DAC0832数据锁存允许控制信号ILE,高电平有效。FPGA_IO10提供DAC0832控制信号(CS:片选信号;Xfer:数据传输控制信号;WR1、

9、WR2:DAC寄存器写选通信号),低电平有效。Iout1、Iout2、Rfb与运算放大器LM324完成电流/电压的转换(DAC0832属电流输出型)。1.5 DAC0832 接口电路程序设计1.5.1 DAC0832 时序根据DAC0832 输出控制时序,利用接口电路图,通过改变输出数据设计一个锯齿波发生器。DAC0832是8位的D/A转换器,转换周期为1s。锯齿波形数据可以由256个点构成,每个点的数据长度为8位。又因为FPGA的系统时钟为50MHz,必须对其进行分频处理,这里进行64分频,得到的锯齿波的频率为762.9Hz。图1.4为DAC0832 输出控制时序图。图1.4 DAC0832

10、 输出控制时序图1.5.2 DAC0832接口电路输出控制程序DAC0832 接口电路程序如下:-文件名:DAC0832.VHD-功能:产生频率为762.9Hz的锯齿波。-最后修改日期:2014.6.15library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity DAC0832 is port(clk:in std_logic; -系统时钟 rst:in std_logic; -复位信号 ile:out std_logic; -数据锁存允

11、许信号 cont:out std_logic; -控制信号(WR1、WR2、CS、Xfer) data_out:out std_logic_vector(7 downto 0); -波形数据输出end DAC0832; architecture behav of DAC0832 is signal q:integer range 0 to 63; -计数器signal data:std_logic_vector(7 downto 0); -波形数据Beginprocess(clk)beginif rst=1 then q=0; -复位,对计数器q清零elsif clkevent and clk

12、=1 then if q=63 then q=0; -此IF语句对系统时钟进行64分频 if data=11111111 then data=00000000; -此IF语句产生锯齿波波形数据 else data=data+1; end if; else q=q+1; end if;end if;end process;ile=1;cont=0;data_out=data; -ile、cont赋值;波形数据输出;end behav;2 仿真结果与分析2.1 关于 Quartus II 软件 Max+plus II 作为 Altera 的上一代 PLD 设计软件,由于其出色的易用性而得到了广泛的

13、应用。目前 Altera 已经停止了对 Max+plus II 的更新支持。Quartus II 是 Altera公司继 Max+plus II 之后开发的一种针对其公司生产的系列 CPLD/PGFA 器件的综合性开发软件,它的版本不断升级,从 4.0 版到 10.0 版,这里介绍的是 Quartus II 8.0 版,该软件有如下几个显著的特点: 2.1.1 Quartus II 的优点 该软件界面友好,使用便捷,功能强大,是一个完全集成化的可编程逻辑设计环境,是先进的 EDA 工具软件。该软件具有开放性、与结构无关、多平台、完全集成化、丰富的设计库、模块化工具等特点,支持原理图、VHDL、

14、VerilogHDL 以及 AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整 PLD 设计流程。 Quartus II 可以在 XP、Linux 以及 Unix 上使用,除了可以使用 Tcl 脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。 2.1.2 Quartus II 对器件的支持 Quartus II 支持 Altera 公司的 MAX 3000A 系列、MAX 7000 系列、MAX 9000 系列、ACEX

15、1K系列、APEX 20K系列、APEX II系列、FLEX 6000系列、FLEX 10K系列,支持MAX7000/MAX3000等乘积项器件。支持 MAX II CPLD 系列、Cyclone 系列、Cyclone II、Stratix II 系列、Stratix GX 系列等。支持 IP 核,包含了 LPM/MegaFunction 宏功能模块库,用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。此外,Quartus II 通过和 DSP Builder 工具与 Matlab/Simulink 相结合,可以方便地实现各种 DSP 应用系统;支持 Altera的片上可编程系统

16、(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。 2.1.3 Quartus II 对第三方 EDA 工具的支持 对第三方 EDA 工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三放EDA 工具。 Altera 的 Quartus II 可编程逻辑软件属于第四代 PLD 开发平台。该平台支持一个工作组环境下的设计要求,其中包括支持基于 Internet 的协作设计。Quartus 平台与 Cadence、ExemplarLogic、 MentorGraphics、Synopsys 和 Synplicity 等 EDA 供应商的开发工具相

17、兼容。改进了软件的 LogicLock 模块设计功能,增添 了 FastFit 编译选项,推进了网络编辑性能,而且提升了调试能力。 用户首先对所做项目进行设计,明确设计目的,设计要求。然后利用原理图输入方式或程序输入方式进行设计输入。输入完成后进行编译,若编译过程中发现错误,则应检查设计输入以修改错误,直至没有错误产生。编译完成后进行仿真,检查是否达到设计要求,若未到达要求,需重新检查设计输入及编译过程,不断迭代,收敛直至满足设计要求。最后将设计配置到目标器件中进行硬件验证与测试。为设计者提供了完善的设计环境,适于模块的层次化设计方法。 2.2 输出仿真结果输出波形如图2.1所示:图2.1 输

18、出波形图数据流分析结果如图2.2所示:由仿真结果我们可以看出,复位后计数器q在每个时钟周期计数一次,基本基本达到设计要求。由此可见,我们的仿真是正确的。3 结论FPGA与DAC的结合使得D/A转换的效率大大提高,还可以运用到多个方面。本文基于FPGA实现DAC0832的接口电路,更加深入的了解了两种芯片的功能。在实际运用中,可以将DAC部分集成到一块芯片上,这样即提高了 FPGA 内部结构的利用率,又可以降低系统的成本。而且,通过本次课程设计,我了解的FPGA与DAC0832接口电路的功能,认识到可以将其推广到更多的地方,比如基于FPGA和DAC0832的数字滤波器和程控放大器等等。这说明了本

19、电路的基础性和广泛的运用性。通过这次设计让我受益匪浅。总结与体会这次的课程设计主要是让我们学习使用 Quartus ii ,利用 VHDL 语言来实现 MFSK的设计和仿真。经过这次课程设计,我更进一步的加强了对 FPGA 的认识,学会了对 VHDL 语言的基本运用,加深了对 MFSK 的理解,发现了他的神奇。一开始,我从最基本的 VHDL 语言学起,一步一步逐渐学会 Quartus ii的应用。经过查阅了相关资料,慢慢的学会了 DAC0832电路的设计,大大提升了我们对 FPGA 的兴趣。我觉得通过这次课设之后,我发现 FPGA 强大的功能,决定继续深入的学习这个软件。参考文献1VHDL数字

20、电路设计教程/(巴西)佩德罗尼著;乔卢峰译./北京:电子工业出版社,2013.12电子设计自动化应用技术FPGA应用篇/路而红主编./北京:高等教育出版社,2009.113张学平,王应生等.基于 FPGA 的 OQPSK 解调器的设计与实现J.微计算机信 息,2006,4-2:155-157 4黄智伟, FPGA 系统设计与实践M.北京:电子工业出版社,2005 5董在望,通信电路原理M.北京:高等教育出版社,2002 4侯伯亨顾新. VHDL 硬件描述语言与数字逻辑电路设计. M.西安. 西安电子 科技大学出版社,1999 本科生课程设计成绩评定表姓 名芦启超性 别男专业、班级信息sy1101班课程设计题目:DAC0832接口电路及程序设计课程设计答辩或质疑记录:成绩评定依据:最终评定成绩(以优、良、中、及格、不及格评定) 指导教师签字: 年 月 日

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1