EDA考试复习重点难点总结.docx

上传人:b****5 文档编号:8360701 上传时间:2023-01-30 格式:DOCX 页数:5 大小:27.75KB
下载 相关 举报
EDA考试复习重点难点总结.docx_第1页
第1页 / 共5页
EDA考试复习重点难点总结.docx_第2页
第2页 / 共5页
EDA考试复习重点难点总结.docx_第3页
第3页 / 共5页
EDA考试复习重点难点总结.docx_第4页
第4页 / 共5页
EDA考试复习重点难点总结.docx_第5页
第5页 / 共5页
亲,该文档总共5页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

EDA考试复习重点难点总结.docx

《EDA考试复习重点难点总结.docx》由会员分享,可在线阅读,更多相关《EDA考试复习重点难点总结.docx(5页珍藏版)》请在冰豆网上搜索。

EDA考试复习重点难点总结.docx

EDA考试复习重点难点总结

数字系统EDA技术复习

第一章EDA技术基础

一、EDA技术的定义:

以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算桩、大规模可编程器件的开发软件及实验开发系统为设计工具,自动完成用软件方式描述的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割.逻辑综合及优化、布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门多学科融合的新技术。

二、EDA的工程设计流程

第一章EDA技术基础

三、传统方法与EDA方法比较:

 

传统方法

1.从下至上

2•通用的逻辑元、器件

3.系统硬件设计的后期进行仿真和调试

4.主要设计文件是电原理图

EDA方法

1.自上至下

2.可编程逻辑器件

3•系统设计的早期进行仿真和修改

4•多种设计文件,发展趋势以HDL描述文件为主

1、设计输入子模块

用图形编辑器、文本编辑器作设计描述,完成语义正确性、语法规则的检查.

2、设计数据库子模块

系统的库单元、用户的设计描述、中间设计结果•

3、分析验证子模块

各个层次的模拟验证.设计规则的检查.故障诊断。

第一章EDA技术基础

4、综合仿真子模块

综合模块:

将电路的高级语言描述转换成低级的,可与FPGA/CPLD或构成ASIC的门阵列基本结构相映射的网表文件.仿真模块实现对所描述电路的功能.逻辑和时序等进行验证.

5、布局布线子模块

完成由逻辑设计到物理实现的映射。

第二章大规模可编程逻辑器件

CPLD和FPGA

FPGA(FieldProgrammableGatesArray)

CPLD(ComplexProgrammableLogicDevice)

FPGA:

内部互连结构由多种长度不同的连线资源组成,每次布线的延迟可不同,属统计型结构。

逻辑单元主体为由靜态存储器(SRAM)构成的函数发生器,即查找表。

通过查找表可实现逻辑函数功能。

采用SRAM工艺。

第二章大规模可编程逻辑器件

CPLD:

内部互连结构由固定长度的连线资源组成,布线的延迟确定,属确定型结构。

逻辑单元主要由“与或阵列”构成。

该结构来自于典型的PAL、GAL器件的结构。

采用EEPROM工艺。

任意一个组合逻辑都可以用“与一或”表达式来描述,所以该“与一或阵列"结构能实现大量的组合逻辑功能。

第二章大规模可编程逻辑器件

FPGA和CPLD的区另U

定义

FPGA现场可编程门阵列

CPLD

复杂可编程逻辑器件

结构

逻辑单元主体为由静态存储器(SRAM)构成的函数发生器,即查找表。

通过查找表可实现逻辑函数功能.

逻辑单元主要由“与或阵列”构成,采用EEPROM工艺。

集成度

1K-100M门

500-soooon

应用范围

FPGA逻辑能力较弱但寄存器多(100多K),适于数据密集型系统

CPLD逻辑能力强而寄存器少(1K左右),适用于控制(逻辑)密集型系统

使用方法

需要外带ROM

第三章VHDL编程基础

实体(Emily)—结构体—

(Architecture)

进程

或其它并行结构

—、VHDL程序的基本结构:

实体(Entity)结构体(Architecture)库(Library)、程序包(Package)配辰(Configuration)

1、库和程序包

注意:

std-logic-1164,std-logic-unsigned程序包的使用

2、实体:

定义系统的输入输出端口

注意:

in、inoutxout、buffer端口的区别

3、结构体:

定义系统(或模块)的行为.元件及内部的连接关系,即描述其逻辑功能.注意:

顺序语句与并行语句的使用范围

4.配置:

从某个实体的多种结构体描述方式中选择特定的一个•

第三章VHDL编程基础

二、VHDL语言的基本要素

数据对象:

常量、变量.信号;

变量与信号赋值的差异:

1)赋值方式的不同:

变量:

=表达式;赋值没有延迟信号<=表达式;赋值有延迟

2)硬件实现的功能不同

信号代表电路单元、功能模块间的互联,代表实际硬件连线变量代表电路单元内部的操作,代表暂存的临时数据

3)有效范围的不同:

信号:

程序包、实体、结构体;全局量.

变量:

进程、子程序;局部量.

三、VHDL语言的顺序描述语句

顺序描述语句:

执行顺序与书写顺序一致,与传统软件设计

语言的特点相似。

顺序语句只能用在进程与子程序中。

可描述组合逻辑、时序逻辑。

if语句;case语句;loop语句;exit语句;子程序;return语句;nu11语句

常用的顺序描述语句:

赋值语句;

next语句;

wai咅句;

注意:

顺序语句的使用范围(只能在进程和子程序中使用)

第三章VHDL编程基础

U?

、VHDL并发语句(Concurrent)

常用的并发描述语句有:

进程(process)语句、

块(block)语句、

并行信号赋值(简单信号赋值、条件信号賦值、选择信号賦值)

并行过程调用语句、

元件例化语句、

生成语句°

注意:

并发语句的使用范围(不能在进程或子程序中使用)

五、基本逻辑电路的设计

编码器、译码器.加法器.多路选择器、触发器、寄存器、计数器、分频器、串并转换电路.奇偶校验电路、序列信号发生器等。

六、状态机的设计

第四章开发环境

QuartusII软件的设计过程主要包括:

1创建项目

2输入设计电路(*・vhd,*・gdf)

3编译综合

4仿真

5增加约束条件(如引脚分配等)

6编译综合

7编程下载(*・sof)

•掌握testbench的写法:

时钟信号.复位信号、使能信号

第五章实验

四个实验的目的是让同学们掌握quartusll的开发环境和开发流程,熟悉开发板的使用,能够独立的完成一个较复杂的系统设计,并具有一定的软硬件调试能力O

十进制计数器的设计.

7段译码电路的设计、

处理器的测试原理.

NiosII流水灯的设计步骤(硬件和软件配置步骤)

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 初中教育

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1