基于FPGA多功能波形发生器的设计毕业设计论文.docx

上传人:b****1 文档编号:756424 上传时间:2022-10-12 格式:DOCX 页数:22 大小:117.04KB
下载 相关 举报
基于FPGA多功能波形发生器的设计毕业设计论文.docx_第1页
第1页 / 共22页
基于FPGA多功能波形发生器的设计毕业设计论文.docx_第2页
第2页 / 共22页
基于FPGA多功能波形发生器的设计毕业设计论文.docx_第3页
第3页 / 共22页
基于FPGA多功能波形发生器的设计毕业设计论文.docx_第4页
第4页 / 共22页
基于FPGA多功能波形发生器的设计毕业设计论文.docx_第5页
第5页 / 共22页
点击查看更多>>
下载资源
资源描述

基于FPGA多功能波形发生器的设计毕业设计论文.docx

《基于FPGA多功能波形发生器的设计毕业设计论文.docx》由会员分享,可在线阅读,更多相关《基于FPGA多功能波形发生器的设计毕业设计论文.docx(22页珍藏版)》请在冰豆网上搜索。

基于FPGA多功能波形发生器的设计毕业设计论文.docx

基于FPGA多功能波形发生器的设计毕业设计论文

江西师范大学科学技术学院

JIANGXINORMALUNIVERSITY

SCIENCEANDTECHNOLOGYCOLLEGE

本科生毕业设计(论文)

中文题目:

基于FPGA多功能波形发生器的设计

DesignOfFPGA-basedDigitalSignalGenerator

声明

本人郑重声明:

所呈交的学位论文,是本人在指导教师指导下,独立进行研究工作所取得的成果。

尽我所知,另文中已经注明引用的内容外,论文由本人独立完成。

为本文的研究做出了重要贡献的个人和集体,均已在文中以明确的方式标明。

本声明的法律结果由本人承担。

矚慫润厲钐瘗睞枥庑赖。

本毕业设计是本人在江西师范大学科学技术学院读书期间在指导教师的细心指导下完成的,在此感谢为本文研究提供文献的集体及个人。

聞創沟燴鐺險爱氇谴净。

声明人学号:

1008068027声明人签名:

朱忠浩

签名日期:

2014年3月2日

基于FPGA多功能波形发生器的设计

摘要

本文所设计内容就是以FPGA为平台用VHDL语言设计多种波形系统来实现数字信号发生器的设计,FPGA严密性高,功能消耗较低,所占空间小,更可靠等特点,设计的时候可不必过于考虑硬件连接;本设计中采用VHDL语言进行系统描述,使数字信号发生器能产生正弦波、三角波、方波、等独立波形,而且对所产生的各种波形的频率及幅度的调节更为方便,还可用AD与低通实现数字电路到模拟电路的的转换。

残骛楼諍锩瀨濟溆塹籟。

关键词:

多种波形发生器;FPGA;VHDL;QuartusⅡ

 

Abstract

Digitalsignaltransmitterasatestfacilityisanimportantpartofinformationprocessingsystem.Intheproductionofawiderangeofapplicationoflife.ThiscontentisdesignedbyAltera,basedonFPGAdesignofdigitalsignalgenerator,FPGAhasahighdensity,lowpowerconsumption,smallsize,highreliability,cannothavetoomuchtoconsiderwherdesigningspecifichardwareconnection;thedesignoftheapplicationofVHDLhardwaredescriptionlanguagetodescribe,sothatthedigitalsignalgeneratorcanproducesine,square,triangle,sawtoothwaveformsofthreeindependent,andisabletoproducefourwaveformsbythefrequencyandamplitudeadjustment.ADandlowpassfilterrealizethechangebetweendigitalelectricityandsimulativeelectricity.酽锕极額閉镇桧猪訣锥。

Keywords:

DigitalWaveformGenerator;FPGA;VHDL;QuartusⅡ彈贸摄尔霁毙攬砖卤庑。

 

1.1引言

随着科技的发展,在计算机技术的推动下,电子技术获得飞快的发展,现代电子产品几乎渗透到社会的各个领域,有力地推动了社会生产力的发展和社会信息化得程度的提高。

在数字化道路上,我国的电子技术亦经历了一系列重大的变革,电子技术发展的根基是微电子技术的进步,它体现在大规模集成电路的加工术,现在广泛地应用微控制器或单片机,这是在电子系统设计里发生的具有里程碑意义般的飞跃。

在可编程芯片CPLD(复杂可编程逻辑器件)和FPGA(现场可编程门阵列)上实现电子系统的设计,必将成为今后电子系统设计的一个发展方向。

所以电子设计技术发展到今天,又将面临另一次更大意义的突破,即CPLD/FPGA在EDA(电子设计自动化)基础上的广泛应用。

本设计将采用基于VHDL的EDA设计来实现波形发生器的各种功能。

熒绐譏钲鏌觶鷹緇機库。

1.2背景与意义

在电子技术领域里,经常会用到波形、频率、幅度都可调的电信号,而用来产生这种电信号的电子仪器就是信号发生器。

鶼渍螻偉阅劍鲰腎邏蘞。

信号发生器是种常用的信号源,常常运用在科学研究和生产实践及教学试验领域。

在通信系统的科研实验中,经常需要用到不同频率和幅度的信号,例如正弦波、三角波、锯齿波、反锯齿波、梯形波、方波、阶梯波等等。

信号发生器是最普通,最基本,运用最广泛的电子仪器,纣忧蔣氳頑莶驅藥悯骛。

传统的波形发生器一般采用的是模拟分立元件来实现,产生的波形种类会受到电路硬件的限制,而且体积较大,灵活性和稳定性也差。

而以数字技术为基础的数字信号发生器,性能指标很好。

现场可编程门阵列器件的容量大、运算速度极快、现场可编程,广泛地应用到实际系统中。

随着电子系统的发展,数字信号发生器的应用将会越来越广泛也会成为模拟复杂信号标准。

颖刍莖蛺饽亿顿裊赔泷。

能够产生测试信号的仪器,统称为信号源,它用于产生被测电路需要特定参数的电测试信号。

信号源可以根据用户对其波形的命令来产生信号。

信号源给被测电路提供所需的已知信号,然后对其它仪表进行测量的参数。

信号源有很多种分类,其中一,可分为混和信号源和逻辑信号源两种。

其中混和信号源主要输出的是模拟波形,逻辑信号源输出的是数字码形。

混和信号源还可分为函数信号发生器、函数发生器,函数信号发生器输出标准波形,例如正弦波、方波等,函数发生器输出用户自定义的任意波形;逻辑信号发生器可分为脉冲信号发生器、码型发生器,脉冲信号发生器能驱动方波或脉冲波输出,码型发生器可以驱动许多通道的数字码型。

濫驂膽閉驟羥闈詔寢賻。

1.3国内外发展现状

以前采用可变时钟和计数器寻址波形存储器的任意波形发生器[4的应用比较广泛,取样的时钟频率较高并且可调节,但是这种波形发生器对硬件要求高,需锁相环和截止频率可调的低通滤波器,已经逐步退出市场。

銚銻縵哜鳗鸿锓謎諏涼。

现在市场上的数字信号发生器大多采用的是直接数字合成(DDS)技术,这种波形发生器不但可以产生变频的载频信号、调制信号,还能参与计算机配合生成自定义的任意信号,更为实用,便捷。

挤貼綬电麥结鈺贖哓类。

从目前发展状况来看,国外的发展更为成熟。

Tektronix和Agilent为代表的国际电子测量仪器公司在这些领域的研究和开发卓有成效,它们的产品在技术上相对成熟,大部分市场都被它们所有,但是价格昂贵,一般研究的造价也比较高,在各国市场上的价格都很高昂。

我国研制任意波形发生器于上世纪90年代开始,近年来我国有部分厂家的进步较大,一直都在学习和借鉴它们的研究产品并改进也取得了可喜的成果。

但是和国外的研究成果比较有很大的落差。

各方面还在发展阶段。

赔荊紳谘侖驟辽輩袜錈。

本文的主要研究内容是参考直接数字频率合成原理(DDS)技术[6],利用QuartusII软件作为研究平台,用VHDL语言作为开发语言平台,基于FPGA的基础上实现数字信号发生器,实现频率幅度可调的正弦波、三角波、锯齿波、反锯齿波、梯形波、方波、阶梯波等等。

塤礙籟馐决穩賽釙冊庫。

2设计要求

1、实现多种波形的输出。

这些波形包括正弦波、三角波、锯齿波、反锯齿波、梯形波、方波、阶梯波等等。

2、输出频率范围:

1kHz—10MHz,

3、具有频率设置功能,频率步进:

100Hz

3、输出电压幅度可调,在50Ω负载电阻上的电压峰峰值大于1V.

4、能用开关方便的选择某一种波形的输出。

工作要求:

1、对基本要求能完成方案比较、设计与论证、理论分析与计算、电路图及有关设计文件。

2、对基本要求能完成硬件电路设计、制作与调试。

3、对基本要求能完成软件调试,测试结果符合要求。

4、完成扩展要求

3设计原理和设计指标

3.1DDS技术

DDS和大多数的数字信号处理技术是一样,它的基础依然是采用奈圭斯特定理。

奈圭斯特采样定理是任何模拟信号进行数字化处理的基础,它描述的是一个带限的模拟信号经抽样变成离散序列后可不可以由这些离散序列恢复出原始模拟信号的问题。

裊樣祕廬廂颤谚鍘羋蔺。

奈圭斯特采样定理告诉我们,当抽样频率大于或者等于模拟信号最高频率的两倍时,可以由抽样得到的离散序列无失真地恢复出原始模拟信号。

只不过在DDS技术中,这个过程被颠倒过来了。

DDS不是对模拟信号进行抽样,而是一个假定抽样过程已经发生且抽样值已经量化完成,如何通过某种方法把已经量化的数值重建原始信号的问题。

仓嫗盤紲嘱珑詁鍬齊驁。

DDS电路一般由参考时钟、相位累加器、波形存通滤波器(LPF)组成。

其结构如图2.1所示。

图2.1DDS基本结构框图

其中,fc为参考时钟频率,K为频率控制字,N为相位累加器位数,A为波形存储器地址位数,D为波形存储器的数据位字长和D/A转换器位数。

绽萬璉轆娛閬蛏鬮绾瀧。

DDS系统中的参考时钟通常由一个高稳定度的晶体振荡器来产生,用来作为整个系统各个组成部分的同步时钟。

频率控制字(FrequencyControlWord,FCW)实际上是二进制编码的相位增量值,它作为相位累加器的输入。

相位累加器由加法器和寄存器级联而成,它将寄存器的输出反馈到加法器的输入端实现累加的功能。

在每一个时钟脉冲fc,相位累加器把频率字K累加一次,累加器的输出相应增加一个步长的相位增量,由此可以看出,相位累加器的输出数据实质上是以K为步长的线性递增序列(在相位累加器产生溢出以前),它反映了合成信号的相位信息。

相位累加器的输出与波形存储器的地址线相连,相当于对波形存储器进行查表,这样就可以把存储在波形存储器中的信号抽样值(二进制编码值)查出。

在系统时钟脉冲的作用下,相位累加器不停的累加,即不停的查表。

波形存储器的输出数据送到D/A转换器,D/A转换器将数字量形式的波形幅度值转换成一定频率的模拟信号,从而将波形重新合成出来。

若波形存储器中存放的是正弦波幅度量化数据,那么D/A转换器的输出是近似正弦波的阶梯波,还需要后级的低通平滑滤波器进一步抑制不必要的杂波就可以得到频谱比较纯净的正弦波信号。

图2.2所示为DDS各个部分的输出信号。

骁顾燁鶚巯瀆蕪領鲡赙。

由于受到字长的限制,相位累加器累加到一定值后,就会产生一次累加溢出,这样波形存储器的地址就会循环一次,输出波形循环一周。

相位累加器的溢出频率即为合成信号的频率。

可见,频率控制字K越大,相位累加器产生溢出的速度越快,输出频率也就越高。

故改变频率字(即相位增量),就可以改变相位累加器的溢出时间,在参考频率不变的条件下就可以改变输出信号的频率。

瑣钋濺暧惲锟缟馭篩凉。

图2.2DDS各部分输出波形

2.2FPGA简介

数字集成电路从产生到现在,经过了早期的电子管、晶体管、小中规模集成电路,到大规模、超大规模集成电路(VLSIC)以及许多既有特定功能的专用集成电路的发展过程。

但是,随着为电子技术的发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。

系统设计师们更愿意自己设计专用集成电路(ApplicationSpecialIntegratedCircuit,ASIC)芯片,而且希望ASIC的设计周期尽可能短,最好是在实验室里就能设计出合适的ASIC芯片,并且立即投入实际应用之中,因而出现了现场可编程逻辑器件(Fi

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 解决方案 > 学习计划

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1