学位论文基于fpga的热电偶温度巡检仪的设计.docx

上传人:b****5 文档编号:7483393 上传时间:2023-01-24 格式:DOCX 页数:38 大小:826.17KB
下载 相关 举报
学位论文基于fpga的热电偶温度巡检仪的设计.docx_第1页
第1页 / 共38页
学位论文基于fpga的热电偶温度巡检仪的设计.docx_第2页
第2页 / 共38页
学位论文基于fpga的热电偶温度巡检仪的设计.docx_第3页
第3页 / 共38页
学位论文基于fpga的热电偶温度巡检仪的设计.docx_第4页
第4页 / 共38页
学位论文基于fpga的热电偶温度巡检仪的设计.docx_第5页
第5页 / 共38页
点击查看更多>>
下载资源
资源描述

学位论文基于fpga的热电偶温度巡检仪的设计.docx

《学位论文基于fpga的热电偶温度巡检仪的设计.docx》由会员分享,可在线阅读,更多相关《学位论文基于fpga的热电偶温度巡检仪的设计.docx(38页珍藏版)》请在冰豆网上搜索。

学位论文基于fpga的热电偶温度巡检仪的设计.docx

学位论文基于fpga的热电偶温度巡检仪的设计

 

题目:

基于FPGA的热电偶温度巡检仪的设计

毕业设计(论文)原创性声明和使用授权说明

原创性声明

本人郑重承诺:

所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。

尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。

对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。

作者签名:

     日 期:

     

指导教师签名:

     日  期:

     

使用授权说明

本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:

按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。

作者签名:

     日 期:

     

学位论文原创性声明

本人郑重声明:

所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。

除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。

对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。

本人完全意识到本声明的法律后果由本人承担。

作者签名:

日期:

年月日

学位论文版权使用授权书

本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。

本人授权    大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。

涉密论文按学校规定处理。

作者签名:

日期:

年月日

导师签名:

日期:

年月日

注意事项

1.设计(论文)的内容包括:

1)封面(按教务处制定的标准封面格式制作)

2)原创性声明

3)中文摘要(300字左右)、关键词

4)外文摘要、关键词

5)目次页(附件不统一编入)

6)论文主体部分:

引言(或绪论)、正文、结论

7)参考文献

8)致谢

9)附录(对论文支持必要时)

2.论文字数要求:

理工类设计(论文)正文字数不少于1万字(不包括图纸、程序清单等),文科类论文正文字数不少于1.2万字。

3.附件包括:

任务书、开题报告、外文译文、译文原文(复印件)。

4.文字、图表要求:

1)文字通顺,语言流畅,书写字迹工整,打印字体及大小符合要求,无错别字,不准请他人代写

2)工程设计类题目的图纸,要求部分用尺规绘制,部分用计算机绘制,所有图纸应符合国家技术标准规范。

图表整洁,布局合理,文字注释必须使用工程字书写,不准用徒手画

3)毕业论文须用A4单面打印,论文50页以上的双面打印

4)图表应绘制于无格子的页面上

5)软件工程类课题应有程序清单,并提供电子文档

5.装订顺序

1)设计(论文)

2)附件:

按照任务书、开题报告、外文译文、译文原文(复印件)次序装订

3)其它

基于FPGA的热电偶温度巡检仪的设计

摘要

随着现代控制技术的发展,在工业控制领域需要对现场数据进行实时采集、控制,例如在发电厂、钢铁厂、化工领域的生产中都需要对大量数据进行现场采集,而温度采集又是其中极为重要的部分。

本课题针对温度检测仪的技术要求,设计了一种4路热电偶温度检测仪。

该仪表可以检测4个测试点的温度,可广泛应用于工业生产和人们日常生活中。

该装置不仅具有精度高、功耗低的优点,还可以及时显示,操作使用方便。

总体设计采用两种方案。

方案一:

热电偶在经过多路的选择之后,经冷端补偿和放大处理,进入A/D转换器,经过FPGA芯片处理并在LED上显示;方案二:

热电偶输出信号直接经集成芯片MAX6675处理,再经过FPGA芯片在LED上显示。

软件则分别在MUX-PLUSⅡ和QuartusⅡ环境下用VHDL语言实现。

 

关键词:

热电偶;温度巡检仪;FPGA;

 

FPGA-basedthermocoupletemperaturedataloggingdevicesdesign

Abstract

Withthedevelopmentofscienceandtechnology,Thefieldofindustrialcontrolneedsforreal-timefielddataacquisitionandcontrol,forexample,powerplants,ironandsteelplant,chemicalindustryproductionoflargeamountsofdata,theyalsoneedtoconducton-sitecollection,andthetemperatureacquisitionisanextremelyimportantpartofthem.

Thetopicsneedsthetemperatureofthetechnicalrequirements,Idesigna4-wayThermocoupleTemperatureDetector.Theinstrumentcandetectthefourtestpointstemperature,Canbewidelyusedinindustrialproductionandpeople'sdailylife.Thedevicenotonlyhashighaccuracyandtheadvantagesoflowpowerconsumption,butalsoshowsintimethattheoperationiseasytouse.Iusetwotypesofprogramstoachieve.Thefirstprogram:

firstofall,thermocoupleisselectedbymulti-channelselector,thenthesignalispassedbycoldjunctioncompensationandamplificationprocessing,thenitpassedinA/Dconversion,AfteritbeprocessedbyFPGAchipanddisplayedintheLED,finally.Thesecondprogram:

thesignal,outputtedbythermocouple,directlybepassedintotheMAX6675IC,andprocessedbyFPGAchipanddisplayedintheLED.ThesoftwarefunctionisintheMUX-PLUSⅡandQuartusⅡenvironmentwithVHDLlanguage.

Keywords:

Thermocouple;temperaturedataloggingdevices;FPGA;

 

第一章引言

1.1研究背景

随着现代科学技术的发展,在现代化的工业生产中,电流、电压、温度、压力、流量、流速和开关量都是常用的主要被控参数。

例如:

在冶金工业、化工生产、电力工程、造纸行业、机械制造和食品加工等诸多领域中,人们都需要对各类加热炉、热处理炉、反应炉和锅炉中的温度进行检测和控制。

在工业领域极端恶劣工作环境下,温度的测量常伴有巨大的撞击力或高温气体的高速流动,其共同特点是温度高且是瞬态变化的,响应时间可达ms甚至ps级,测量技术难度大.目前,常用的温度采集系统绝大部分是由集成温度传感器和单片机构成的,这种方案有一定的局限性,因此采用效率和自动化水平更高的新的测量手段,是温度测控系统的发展趋势。

1.2温度巡检仪发展概况

在温度巡检仪没有普及运用之前,温度计测温被运用在大多数温度测量场合。

由于其本身的结构和功能所限,它只能对一些要求精度不高的地方进行较粗略检测,从而需要严格控温的场合则没有办法检测,最终影响到生产的效率及效益。

随着科学技术的发展,出现了能够对多点温度进行巡回定点检测并显示的温度巡检仪。

温度巡检系统不仅要进行数据的自动采集处理与实时控制,而且要考虑数据的分析与管理。

系统对大量有关联数据的存储,目的是为让用户方便地访问和使用数据资源,将采集到的实时数据和历史数据完整、系统地管理起来。

在确保数据的安全性、完整性的同时,管理者直接面对生产现场,并根据现场的实际作业信息及时发出指令,进行全局统筹调度与协调。

1.3研究意义

温度巡检仪的出现和发展顺应了时代和工业发展的趋势。

它是由温度传感器和显示、记录仪表构成。

其测温原理是:

多个传感器的输出电参数随温度的变化而变化,输出并变换成统一规格的电信号,由多路自动开关逐路选通,以采样、量化、编码和必要的辅助运算方法将模拟量转换成数字量。

再经数字电路或微处理器及外围电路处理后输出驱动显示和记录机构,周期性地采集被测信号。

第二章EDA技术介绍

2.1电子设计自动化(EDA)技术概述

2.1.1电子设计自动化(EDA)技术

EDA是电子设计自动化(ElectronicDe-signAutomation)的英文缩写,是20世纪90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。

EDA技术就是以计算机为工具,在EDA软件平台上,根据硬件描述语言HDL完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局线、仿真,直至对于特定目测网络是否畅通;第三阶段,建立用户和安装常用的故障报警装置。

EDA技术是以计算机科学和微电子技术发展为先导,汇集了计算机应用科学、微电子结构、工艺学和电子系统科学的最新成果的先进CAD(ComputerAidedDesign)技术,它是在先进的计算机工作平台上开发出的一系列电子设计软件系统。

根据电子设计的发展特征,EDA技术的发展过程可划分为四个阶段:

第一阶段起始于60年代中期,人们开始用计算机设计印刷电路板-PCB(PrintedCircuitBoard)设计,产生了电子CAD概念,标志着电子CAD技术的诞生。

第二阶段从70年代开始,随着产业发展的迫切需要,除了将CAD用于电路绘图外,又增加了电路功能设计和结构设计,通过网络表将两者结合在一起。

这就是CAE(ComputerAidedEngineering)的概念,主要用于电气原理图的输入、逻辑仿真、电路分析、布局布线和PCB设计。

著名的电路仿真软件SPICE(SimulationProgramforIntegratedCircuitEmphasis)就是这个时代的代表作。

第三阶段从80年代初至九十年代初,EDA技术延伸到半导体芯片的设计。

运用EDA技术设计并生产出了许多可编程半导体芯片。

同时出现了一批适用于微机的电路仿真和设计的软件,如PSPICE、EWB(ElectrinicWorkbench)等。

第四阶段从九十年代至今。

这个时期微电子技术以惊人的速度发展,其工艺已达到深亚微米级,在一个芯片上可集成几百万只仍至上千万只晶体管。

这就给EDA技术提出了新的挑战,从而又大大地促进了EDA技术的发展,产生了许多规模较大的EDA工具软件系统,如Cadence、Synopsys以及我国的熊猫系统等。

可以说这个阶段才真正称得上是EDA时期。

现在EDA这个词用得很广,有将PROTEL、PSPICE、EWB、POWERPCB等都称为EDA软件,这或许是不恰当的。

如上所述,EDA就是利用计算机,通过软件方式的设计和测试,达到对既定功能的硬件系统的设计和实现。

EDA技术中最为瞩目的和最具现代电子设计技术特征的功能就是日益强大的仿真测试技术。

EDA仿真测试技术只需通过计算机就能对所设计的电子系统从各种不同层次的系统性能特点完成一系列准确的测试与仿真操作,在完成实际系统的安装后还能对系统上的目标器件进行所谓边界扫描测试。

这一切都极大地提高了大规模系统电子设计自动化程度。

与单片机系统开发相比,利用EDA技术对FPGA/CPLD的开发,通常是一种借助于软件方式的纯硬件开发,因此可以通过这种途径进行所谓专用集成电路(ASIC)开发,而最终的ASIC芯片,可以是FPGA/CPLD,也可以是专制的门阵列掩模芯片,FPGA/CPLD只起到硬件仿真ASIC芯片的作用。

而利用计算机进行的单片机系统的开发,主要是软件开发,在这个过程中只需程序编译器就可以了,综合器和适配器是没有必要的,其仿真过程是局部的且比较简单。

2.1.2可编程逻辑门陈列(FPGA)

FPGA由许多独立的可编程逻辑模块组成,用户可以通过编程将这些模块连接起来实现不同的设计。

FPGA兼容了MPGA和阵列型PLD两者的优点,因而具有更高的集成度、更强的逻辑实现能力和更好的设计灵活性。

1.FPGA的分类

不同厂家、不同型号的FPGA其机构有各自的特点,但就其基本机构来分析,大致有以下几种分类方法。

(1)按逻辑功能块的大小分

FPGA的基本逻辑机构单元是可编程逻辑块,按照逻辑功能块的大小不同,可将FPGA分为细粒度机构和粗粒度机构两类。

(2)按互联结构分

按互联结构分类可将其分为分段互联型和连续互联型两类。

(3)按编程特性分

按编程特性分类FPGA可分为一次编程型和可重复编程型两类。

2.FPGA的基本机构及特点

FPGA由若干独立的可编程逻辑模块组成。

它由三种可编程单元和一个用于存放编程数据的静态存储器组成。

这三种可编程的单元分别是输入/输出模块IOB(I/OBlock)、可编程逻辑模块CLB(ConfigurableLogicBlock)和互联资源IR(InterconnectResource)。

它们的工作状态全都由编程数据存储器中的数据设定。

3.FPGA结构的主要优点有:

(1)FPGA中除了极少的几个引脚以外,大部分引脚都与可编程的IOB相连,且均可根据要求设置成输入或输出。

(2)每个CLB中都包含组合逻辑电路和存储电路(触发器)两部分,可以设置成规模不大的组合逻辑电路或时序逻辑电路。

(3)在CLB之间配备了丰富的连线资源。

折线互联资源包括不同类型的金属线、可编程的开关矩阵和可编程的连接点,从而使CLB更易设计成各种应用型电路。

4.FPGA存在的主要缺点有:

(1)信号传输延迟时间不是确定的且速度慢。

(2)由于FPGA中的编程数据存储器是一个静态随即存储器,断电时数据将随之丢失,因此,每次开始工作时都要重新安装编程数据,并需要配备保存变成数据的EPROM。

(3)FPGA的编程数据不便于保密。

2.2EDA设计流程

图2.1是基于EDA软件的FPGA/CPLD开发流程框图,以下将分别介绍各设计模块的功能特点。

对于目前流行的EDA工具软件,图2.1的设计流程具有一般性。

图2.1应用于FPGA/CPLD的EDA开发流程

2.2.1设计输入

将电路系统以一定表达方式输入计算机,是在EDA软件平台上对FPGA/CPLD开发的最初步骤。

通常,使用EDA工具的设计输入可分为两种类型。

1.图形输入

图形输入通常包括原理图输入、状态图输入和波形图输入三种常用方式。

原理图输入法类似与传统电子设计方法的原理图编辑输入方式,即在EDA软件的图形编辑界面上绘制能完成特定功能的电路原理图。

原理图由逻辑器件(符号)和连接线构成,图中的逻辑器件可以是EDA软件库中预制的功能模块,如与门、或门、非门、触发器以及各种74系列器件功能的宏功能块,甚至还有一些类似于IP的功能块。

原理图编辑绘制完成后,原理图编辑器将会对输入的图形文件进行排错,之后再将其编译成适用于逻辑综合的文件。

状态图输入法就是根据电路的控制条件和不同的转换方式,用绘图的方法,在EDA工具的状态图编辑器上绘出状态图,然后由EDA编辑器和综合器将此状态变化流程图编译综合成电路网表。

波形图输入发则是将待设计的电路看成是一个黑盒子,只需告诉EDA工具黑盒子电路的输入和输出时序波形图,EDA工具即能根据此完成黑盒子电路的设计。

2.HDL文本输入

这种方式与传统的计算机然间语言编译输入基本一致。

就是将使用了某种硬件描述语言的电路设计文本,如VHDL,进行编辑输入。

可以说,应用HDL的文本输入方法克服了上述原理图输入法存在的所有弊端,为EDA技术的应用和发展打开了一个广阔的天地。

2.2.2综合

一般来说,中和是仅对HDL而言的。

利用HDL综合器对设计进行综合是十分重要的一部,因为综合过程将把软件设计的HDL描述与硬件结构挂钩,是将然间转化为硬件电路的关键步骤,是文字描述与硬件实现的一座桥梁。

综合就是将电路的高级语言转换成低级语言。

整个综合过程就是将设计者在EDA平台上编辑输入的HDL文本、原理图或状态图形描述,依据给定的硬件结构组件和结束控制条件进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述网表文件。

2.2.3适配

适配器也称结构综合器,它的功能是将由综合器生成的网表文件配置于指定的目标器件中,使之长生最终的下载文件,如JAM格式的文件。

适配所选定的目标器件必须属于原综合器指定的目标器件系列。

适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、逻辑布局布线操作。

适配完成后可以利用适配所产生的仿真文件作精确的时序仿真,同时长生可用于编程的文件。

2.2.4时序仿真

在编程下载前必须利用EDA根据对适配生成的结果进行模拟测试,就是所谓的仿真。

仿真就是让计算机根据一定的算法和一定的仿真库对EDA设计进行模拟,以验证设计,排除错误。

仿真是在EDA设计过程中的重要步骤。

时序仿真就是接近真实器件运行特性的仿真,仿真文件中已包含器件硬件特性参数,因而,仿真精度高。

但时序仿真的仿真文件必须来自针对具体器件的综合器与适配器。

2.2.5编程下载

把适配后生成的文件或配置文件,通过编程器或编程电缆向FPGA或CPLD下载,以便进行硬件调试和验证。

FPGA与CPLD的辨别和分类主要是根据其结构特点和工作原理。

通常的分类方法是:

(1)将以乘积项结构方式构成逻辑行为的器件称为CPLD,如Lattice的ispLSI系列、Xilinx的XC9500系列、Altera的MAX7000S系列和Lattice(原Vantis)的Mach系列等。

(2)将以查表法结构方式构成逻辑行为的器件称为FPGA,如Xilinx的SPARTAN系列、Altera的FLEX10K或ACEX1K系列等。

2.2.6硬件测试

最后是将含有载入了设计的FPGA或CPLD的硬件系统进行统一测试,以便最终验证设计项目在目标系统上的设计工作情况,以排除错误,改进设计。

2.3VHDL硬件描述语言介绍

VHDL的英文全名是Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage,诞生于1982年。

1987年底,VHDL被IEEE(TheInstituteofElectricalandElectronicsEngineers)和美国国防部确认为标准硬件描述语言。

自IEEE公布了VHDL的标准版本(IEEE-1076)之后,各EDA公司相继推出了自己的VHDL设计环境。

此后,VHDL在电子设计领域受到了广泛的接受,并逐步取代了原有的非标准HDL。

1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本。

现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,它已成为事实上的通用硬件描述语言。

有专家认为,在新的世纪中,VHDL与Verilog语言将承担起几乎全部的数字系统设计任务。

VHDL主要用于描述数字系统的结构、行为、功能和接口。

与其它的HDL相比,VHDL具有更强的行为描述能力,从而决定了它成为系统设计领域最佳的硬件描述语言。

强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。

就目前流行的EDA工具和VHDL综合器而言,将基于抽象的行为描述风格的VHDL程序综合成为具体的FPGA和CPLD等目标器件的网表文件已不成问题。

 

第三章温度巡检仪总体方案设计

3.1基于单片机的温度巡检仪

方案一:

根据目前智能仪表的一般特点,系统的原理结构框图如图3.1所示。

图3.1温度巡检仪原理结构图

从图3.1可知系统主要包括构成智能测量系统核心的微处理器、检测温度的敏感元件—热电阻式温度传感器Pt100、信号的采集电路—恒流源电路、信号的切换及偏置放大电路、A/D转换电路、显示输出电路、通信电路、存储电路以及电源电路。

信号的切换是为本系统多路要求而设置的,程控偏置的原因是考虑温度测量的范围较宽,如果不加该级电路会造成整个测量系统分辨力不高而降低测量精度。

方案二:

巡检系统如图3.2所示,主要由微控制芯片AT89C2051和数字温度传感器DS18B20构成。

图3.2多点温度巡检系统

测温部分的电路比较简单,温度信号由数字温度传感器DS18B20采集,在其内部直接完成A/D转换,通过单总线输出数字信号送入AT89C2051进行处理。

通过对外界温度进行测量,主要完成数据的采集、处理、显示、报警等功能。

DS18B20的供电方式为外部电源。

当DS18B20处在存储器操作和温度A/D变换操作时,总线上必须有强的上拉。

为保证在有效的DS18B20时钟周期内提供足够的电流,在电源线与信号线之间加上一个4.7k的上拉电阻。

多点温度巡检系统原理图如图3.12所示。

在系统安装及工作之前必须将主机逐个与DS18B20挂接,从激光ROM中读出其序列号,然后分别赋予在系统中的编号1~N。

其工作过程为:

主机发出一个脉冲,待“0”电平大于480us后,复位DS18B20,在DS18B20所发响应脉冲由主机接收后,主机再发读ROM命令代码33H,然后发一个脉冲(≥15us),并接着读取DS18B20序列号的一位。

用同样的方法读取序列号的56位。

系统软件设计采用模块化设计,程序采用汇编语言编程,系统功能由复位子程序、读/写子程序、温度转换子程序、显示子程序、报警子程序等来完成。

3.2基于虚拟仪器的温度巡检仪

如图3.2所示,此系统是基于AT89S51为主机,它相当于一般用于数据采集卡系统中的数据采集卡,两个数字式单总线传感器DS18B20从器件,替换了传统的温度传感器,构建一个四路温度的巡回测量功能,温度值通过四个四位数码管显示,并通过RS-232接口将温度数据送上位机处理,利用上位机软件LabVIEW完成了数据采集、显示、分析及处理,从而构建了一个四路温度测量系统,该系统采用单片机替代了价格昂贵的数据采集板卡实现了对温度的采集与测量。

图3.2多路温度巡回检测系统框图

3.3总体方案选择与设计

本设计选择了基于FPGA的两种方案。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 农林牧渔 > 林学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1