四路彩灯显示系统.docx

上传人:b****5 文档编号:7459201 上传时间:2023-01-24 格式:DOCX 页数:11 大小:145.68KB
下载 相关 举报
四路彩灯显示系统.docx_第1页
第1页 / 共11页
四路彩灯显示系统.docx_第2页
第2页 / 共11页
四路彩灯显示系统.docx_第3页
第3页 / 共11页
四路彩灯显示系统.docx_第4页
第4页 / 共11页
四路彩灯显示系统.docx_第5页
第5页 / 共11页
点击查看更多>>
下载资源
资源描述

四路彩灯显示系统.docx

《四路彩灯显示系统.docx》由会员分享,可在线阅读,更多相关《四路彩灯显示系统.docx(11页珍藏版)》请在冰豆网上搜索。

四路彩灯显示系统.docx

四路彩灯显示系统

课程设计

课程名称:

数字电子技术课程设计

课题名称:

四路彩灯显示系统

专业:

自动化

 

课程设计任务书

课程名称:

数字电子技术

题目:

四路彩灯显示系统设计

 

设计内容与设计要求

一、任务与要求:

用中规模集成电路设计并制作一个四路彩灯显示系统,要求如下:

1、开机自动置入初始状态后即能按规定的程序进行循环显示。

2、程序由3个节拍的循环演示组成:

第一节拍,四路彩灯从左向右逐次渐凉,使第一路彩灯先点亮,接着第二,第三,第四路彩灯点亮。

第二节拍时,Q4~Q1依次为0,使第四路彩灯先灭,然后使第三,第二,第一路彩灯灭。

第三节拍时,Q1~Q4输出同时为1态0.5秒,然后同时为0态0.5秒,使四路彩灯同时点亮0.5秒然后同时灭0.5秒,共进行4次。

每个节拍费时都为4秒,执行一次程序共需12秒

3、用发光二极管显示彩灯系统的各节拍;

4、功能扩展(自选)

二、设计要求:

1、设计思路清晰,给出整体设计框图;

2、设计各单元电路,给出具体设计思路、电路器件;

3、总电路设计;

4、计算机仿真

5、安装调试电路;

6、写出设计报告;

 

主要设计条件

1.提供直流稳压电源、示波器;

2.提供TTL集成电路芯片、电阻、电容及插接用面包板、导线等。

 

说明书格式

1、课程设计封面;

2、课程设计任务书;

3、说明书目录;

4、设计总体思路,基本原理和框图;

5、单元电路设计(各单元电路图);

6、总电路设计(总电路图);

7、安装、调试步骤;

8、故障分析与电路改进;

9、总结与设计调试体会;

10、附录(元器件清单);

11、参考文献;

12、课程设计成绩评分表。

 

进度安排

第一周星期一上午安排任务、讲课。

星期一~星期五上午查资料、设计

第二周

1、计算机仿真

2、测试元器件

3、调试单元电路

4、调试总电路

5、调试验收

6、写课程设计报告书

星期五下午答辩

地点:

实验楼四楼电子综合实验室

 

第一章  系统组成及工作原理

1.1设计总体思路

根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。

时钟脉冲产生电路由74LS161分频实现,循环控制电路由74LS161和7420实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。

1.2基本原理

由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和S1实现右移、左移和送数,通过控制CLR'控制清零。

第一节拍为1右移,第二节拍为0左移,第三节拍全亮为置数1,全灭为清零。

由于程序循环一次要12秒,故需要一个12进制的计数器控制循环。

第三节拍时要求1秒内全灭全亮各一次,故脉冲信号频率比先前两节拍时脉冲频率要快一倍,而且要以相同频率控制CLR’。

可以用一个16进制计数器产生脉冲信号,一路送到控制12进制的计数器,一路经逻辑电路送到移位寄存器。

1.3框图

第二章  单元电路设计

2.1时钟脉冲产生电路

用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲,其周期为:

T=0.7(R1+2R2)C………………………(1-1)

控制74LS161模十六计数器电阻值和电容值可设为:

R1=1KΩ,R2=20KΩ,C=0.01μF

由公式(1-1)计算得:

T=0.287S

 

图2.1时钟电路

2.1.1具体实现

 

74LS161

用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲,控制74LS161模十六计数器,74LS161A输出2倍T的脉冲信号;B输出4倍T的脉冲信号,大约为1S。

 

2.2循环控制电路

12进制循环控制电路示意图(74LS161由74163代替)

2.2.1具体实现:

如果模N计数器的计数序列从最小0到最大数N-1,那么N是多余的,可用与非门检测N,当N出现时,与非门输出为低,用它控制清零端CR’,将计数器清零。

此处工作状态从0000~1011,检测1100(异步清零)。

 

2.3彩灯花样输出电路

2.3.1运用到74LS194功能表

输入

输出

功能

/CR

S1

S0

CP

SL

SR

D0D1D2D3

Q0Q1Q2Q3

0

×

×

×

×

×

×

×

×

×

0

0

0

0

清零

1

1

1

×

×

d0

d1

d2

d3

d0

d1

d2

d3

送数

1

0

1

×

1

×

×

×

×

1

Q0n

Q1n

Q2n

右移

1

1

0

0

×

×

×

×

×

Q1n

Q2n

Q3n

0

左移

 

2.3.2通过12进制计数器的输出端的C、D信号控制移位寄存器的S0和S1及其CLR'端真值表

CLK

时间

/S

节拍

QD

QC

S0

S1

SR

SL

74LS194

动作

0

 

Hz脉冲

B

1

第一节拍

0

0

1

0

 

1

 

*

 

右移1

1

2

0

0

1

0

2

3

0

0

1

0

3

4

0

0

1

0

4

5

第二节拍

0

1

0

1

 

*

 

0

 

左移0

5

6

0

1

0

1

6

7

0

1

0

1

7

8

0

1

0

1

8

 

Hz 脉冲

A

9

     

第三节拍

1

0

1

1

 

*

 

*

 

送1

清零

9

1

0

1

1

10

10

1

0

1

1

11

1

0

1

1

12

11

13

14

12

15

由上表由:

S0=QC'

S1=QD+QC

CLR'=(QD*A+QD')

进一步分析可知74LS194脉冲控制:

CLK=(QD*A+QD')*QD+B

2.3.3彩灯花样输出电路接线图

 

第三章  总电路设计

 

第四章  实验、调试及测试结果分析

4.1结果的调试及分析

4.1.1调试使用的主要仪器:

数字万用表直流稳压电源示波器函数信号发生器

4.1.2测试电路的方法和技巧:

先检查各芯片的电源和地是否接上,检查线路是否连好;前面的检查无问题后,再根据彩灯的变化情况,确定可能的原因,分析是哪个功能模块出了问题,用数字万用表检查各模块的功能,发现并改正错误,直到符合要求为止。

4.2调试中出现的故障、原因及排除方法:

4.2.1彩灯只有一种花样变化,没有其它的花样:

可能是移位计数器的S0,S1端控制出现问题,应该检查循环控制逻辑电路。

还可能是12进制循环控制计数器没正常工作,检查是否正确接线,芯片是否功能完好。

特别注意不能把非门的输入与输出接反了。

4.2.2彩灯无规律变化:

原因可能是12进制循环控制电路不能产生符合要求的控制码,检查模十六计数器的CP脉冲是否稳定,看74LS161是否能计数,即QA、QB、QC、QD是否周期性的高低电平变化,前面没问题,再检查与非门74LS20和非门74LS04是否能实现它们逻辑功能。

4.2.3彩灯的四种花样都有,但一次循环结束到另一次循环开始中间有段时间彩灯不亮:

原因可能是控制循环的12进制计数器没有真确接线,实际控制循环的进制大于12进制,导致有放空时间。

应该检查74LS20是否正常工作,确保能实现12进制计数。

第五章  总结与设计调试体会

当完成这次课程设计后,感觉很有成就感,因为从电路设计到电路仿真,接线,测试都是自己独立完成。

第一次真正体会到将所学知识运用到实践中的快乐,最终得到了完美的结果,但是最重要的是经历一次如此难忘的过程。

设计电路最重要的是思路要清晰,一旦有了自己的思路就应该有层次有条理的探索下去,只要坚持自己的观点和判断,就一定能实现,即便最后发现走进了死胡同,但是探索设想与求证的过程却是通往另一条道路必不可少的环节。

在完成这次设计的过程中,我也有参考相关的设计课题,甚至还花了很长的时间去弄清楚别人的设计,以至于后来被束缚住,对自己的设计没有了头绪。

学习吸收别人的长处是应该的,但是不能完全沉浸进去,要有自己的思路和观点,并且努力去实现。

这就是快乐的最大源泉。

在完成设计的过程中自己不断解决了一个个的难题,要通向最后的成功,绝不会一蹴而就,饭要一口一口地吃,路要一步一步地走,做事要踏实,实事求是,不能好高骛远。

在电路接线过程中,也出现了很多问题,问题多并不可怕,可怕的是在反复的受挫中没有革新,不能改进自己的方法和思路。

通过这次课程设计,我对电子技术中的诸多知识有了更深层次的理解,也初步学会了如何将理论知识有机地与实际结合加以运用。

这是一次获益匪浅的实习。

第六章  附录

6.1元器件清单:

555定时器,模十六计数器74LS161,与门74LS09,与非门74LS20,非门74LS04,或门74LS32。

74LS194双向移位寄存器,发光二极管等。

6.2参考文献

《电子技术课程设计》历雅萍、易映萍编

《电子技术课程设计指导》彭介华、主编

高等教育出版社

《电子线路设计、实验、测试》谢自美主编 华中理工出版社。

 

电气与信息工程系课程设计评分表

项目

评价

设计方案的合理性与创造性

硬件制作或软件编程完成情况*

硬件制作测试或软件调试结果*

设计说明书质量

设计图纸质量

答辩汇报的条理性和独特见解

答辩中对所提问题的回答情况

完成任务情况

独立工作能力

组织纪律性(出勤率)

综合评分

 

指导教师签名:

________________

日期:

________________

注:

表中标*号项目是硬件制作或软件编程类课题必填内容;

此表装订在课程设计说明书的最后一页。

课程设计说明书装订顺序:

封面、任务书、目录、正文、评分表、附件(非16K大小的图纸及程序清单)。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 理学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1