基于FPGA的数字上变频设计设计说明.docx

上传人:b****6 文档编号:6330684 上传时间:2023-01-05 格式:DOCX 页数:44 大小:2.16MB
下载 相关 举报
基于FPGA的数字上变频设计设计说明.docx_第1页
第1页 / 共44页
基于FPGA的数字上变频设计设计说明.docx_第2页
第2页 / 共44页
基于FPGA的数字上变频设计设计说明.docx_第3页
第3页 / 共44页
基于FPGA的数字上变频设计设计说明.docx_第4页
第4页 / 共44页
基于FPGA的数字上变频设计设计说明.docx_第5页
第5页 / 共44页
点击查看更多>>
下载资源
资源描述

基于FPGA的数字上变频设计设计说明.docx

《基于FPGA的数字上变频设计设计说明.docx》由会员分享,可在线阅读,更多相关《基于FPGA的数字上变频设计设计说明.docx(44页珍藏版)》请在冰豆网上搜索。

基于FPGA的数字上变频设计设计说明.docx

基于FPGA的数字上变频设计设计说明

基于FPGA的数字上变频设计设计说明

 

毕业设计(论文)说明书

 

题目:

基于FPGA的数字上变频设计

院(系):

信息与通信学院

 

题目类型:

理论研究实验研究工程设计工程技术研究软件开发

 

摘要

数字变频技术在软件无线电和各类数字化发射接收机中得到了广泛应用。

由于数字信号处理器(DSP)的处理速度有限,往往难以对A/D采样得到的高速率数字信号直接进行各种类别的实时处理。

为了解决这一矛盾,需要采用数字变频技术,将采样得到的高速率信号变成低速率基带信号,以便进行下一步的信号处理。

用现场可编程阵列(FPGA)来设计数字上下变频器有许多好处。

FPGA在硬件上具有很强的稳定性和极高的运算速度,在软件上具有可编程的特点,在某些专用芯片不能完全满足系统技术指标的情况下,所以采用FPGA来设计数字下变频器更是一种好的解决方案。

本文基于数字上变频的基本原理,利用FPGA器件的各种优势,在FPGA开发工具QuartusII上完成了数字上变频的设计、综合,并最终在Modelsim上实现了仿真。

首先介绍数字上变频及其各模块的原理和实现方法,然后再通过编写VerliogHDL语言及调用IP核来实现各个模块功能,最后通过原理图的构造来整体实现数字上变频。

并通过Modelsim的仿真以验证数字上变频的正确性。

关键词:

数字上变频;FPGA;插值;HB滤波器;CIC滤波器

 

Abstract

DigitalFrequencyConversionTechnologyinSoftwareDefinedRadioandvarioustypesofdigitallaunchorreceivershavebeenwidelyused.Asthedigitalsignalprocessor(DSP)processingspeedislimited,oftenitisdifficultforA/Dsamplingratetobehigh-speeddigitalsignaldirectlytothevariouscategoriesofreal-timeprocessing.Inordertoresolvethiscontradiction,theneedfordigitalupordownconversiontechnology,high-speedsamplingrateofsignalstobeturnedintoalowrateofbase-bandsignalforthenextstageofsignalprocessing.Withfield-programmablearray(FPGA)tothedesignofdigitaldown-converterhasmanyadvantages.FPGAhardwarehasstrongstabilityandhighcomputationalspeed,thesoftwarehasprogrammablefeatures,andinsomespecialDDCchiptechnologycannotcompletelymeettheindicators,sothenumberofusedFPGAtodesignthenextconverterisagoodsolution.

DigitalUpConverterBasedonthebasicprinciplesoftheuseofthevariousadvantagesofFPGAdevices,developmenttoolsintheFPGAontheQuartusII.DUCcompletedthedesign,integration,andultimatelytherealizationofthesimulationinModelsim.Firstintroducedtheprincipleofdigitalupconversionandofeachmodule,andthenVerliogHDLlanguagebywritingandcallingIPnucleartoachieveeachmodulefunction,andfinally,throughtheschematicdiagramofthestructuretotheoverallrealizefrequencyinthefigures.AndthroughthesimulationModelsimtoverifythecorrectnessofthedigitalfrequency.Finally,throughtheModelsimsimulationtoverifytheaccuracyoftheup-conversion.

Keywords:

DUC;FPGA;interpolate;HBfilter;CICfilter

 

引言1

1绪论2

1.1数字变频技术简介2

1.2数字上下变频技术的应用发展及现状2

1.3课题研究内容及设计方案3

2FPGA系统设计基础4

2.1FPGA简介4

2.2FPGA基本结构4

2.3FPGA的基本设计流程5

2.3.1设计输入方式和软件6

2.3.2仿真的方法和软件6

3数字上变频技术理论基础7

3.1数字上变频原理概述7

3.1.1数字混频正交变换7

3.1.2影响数字上变频性能的主要因素8

3.1.3数字上变频的基本原理9

3.2多速率信号处理9

3.2.1多速率信号处理理论9

3.2.2整数倍内插10

3.3高效数字滤波器11

3.3.1CIC滤波器12

3.3.2HB半带滤波器14

3.4DDS的实现原理15

4各模块设计实现原理17

4.2DDS设计17

4.1.1相位累加器18

4.1.2正弦查找表18

4.2内插滤波器设计20

4.2.1HB半带滤波器设计20

4.2.2CIC滤波器设计21

4.3混频器设计22

5数字上变频仿真与验证24

5.1Modelsim仿真软件介绍和特点24

5.2Modelsim仿真方法25

5.2.1前仿真25

5.2.2后仿真25

5.3Modelsim仿真的基本步骤25

5.4仿真结果28

6结论31

谢辞32

参考文献33

附录34

 

引言

近年来,软件无线电理论正逐渐趋于成熟与完善,软件无线电技术已经被越来越广泛地应用于蜂窝通信及各种军用和民用的无线通信系统中。

作为软件无线电接收机的核心技术之一,数字上下变频技术也得到了越来越普遍的应用。

上变频是将具有一定频率的输入信号,改换成具有更高频率的输出信号。

理论上,在软件无线电系统发送端,将已调制好的基带信号通过脉冲成型滤波进行整形、采样抽取等,并经过插值滤波来提高采样速率,之后与本地的数控正交振荡器混频,得到I/Q正交信号,进行数字混频后,再经过数模转换(DAC)后转换成直接中频输出。

现场可编程门阵列(FPGA)具有功能强大,开发过程投资小、周期短,可反复编程修改,保密性能好,开发工具智能化等特点,正好充分发挥了软件无线电可编程能力强,易于升级的特点。

用FPGA实现数字上变频器的设计,不仅降低了产品成本,减小了设备体积,满足了系统的需要,而且比专用芯片具有更大的灵活性和可控性。

随着软件无线电技术理论的发展以及大规模集成电路的广泛使用,原来的模拟系统的基带处理部分采用数字电路逐渐数字化、软件化。

数字上变频技术(DigitalUpConverter—DUC)是软件无线电的核心技术之一,也是计算量最大的部分,一般通过FPGA或专用芯片等硬件实现。

虽然现在专用的数字下变频芯片品种很多,但是它们在设计和修改方面远远不如FPGA灵活,使用FPGA替代专用的数字上变频芯片更加符合软件无线电的思想。

 

1绪论

1.1数字变频技术简介

数字变频技术在软件无线电中占有非常重要的地位。

软件无线电是一种以现代通信理论为基础,以数字信号处理为核心,以微电子技术为支撑的新的无线通信体系结构。

它的实现是以一个通用、标准、模块化的硬件平台为依托,通过软件编程来完成无线电台的各种功能,从基于硬件、面向用途的电台设计方法中解放出来。

软件无线电强调体系结构的开放性和全面可编程性,通过软件的更新改变硬件的配置结构,实现新功能,并有利于硬件模块的不断升级和扩展。

目前,软件无线电中所涉及的关键技术主要有带多频段天线与宽带低噪声前置放大器、功率放大器、A/D部分、数字下变频、高速数字信号处理、数字上变频和信令处理等,本文介绍的就是软件无线电中数字上变频器的研究。

系统最突出的特点有:

首先,具有完全的可编程特性,无线波段、接入方式、调制方式和数据速率等都可以通过软件来加以控制;其次,对整个中频频带甚至射频进行采样,增大了处理的带宽,利用高速DSP和软件处理,完成被传输信号从基带到射频之间的整个处理过程。

1.2数字上下变频技术的应用发展及现状

随着近年来现场可编程门阵列(FPGA)器件和通用数字信号处理器(DSP)在芯片逻辑规模和处理速度等方面性能的迅速提高,用硬件编程或软件编程方式实现无线功能的软件无线电技术在理论和实用化上都趋于成熟和完善。

软件无线电技术只需通过软件上的更新就能够选择不同的业务或调制方式、追加和修改功能,具有传统硬件方式所无法比拟的灵活性、开放性和可扩展性。

因此,软件无线电技术已经被越来越广泛地应用于蜂窝通信及各种军用和民用的无线系统中。

软件无线电的核心思想是对天线感应的射频模拟信号尽可能的直接数字化,将其变换为适合DSP器件或计算机处理的数据流,然后通过软件来完成各种功能。

在现阶段,由于受各种关键器件,特别是受ADC/DAC(模数、数模变换器)采样速率、工作带宽和通用DSP器件处理速度的限制,数字中频软件无线电正成为理想软件无线电的一种经济、适用的折中选择。

在目前大多数软件无线电接收机中,一般先经模拟下变频至适当中频,然后在中频用ADC数字化后输出高速数字中频信号,再经数字下变频器(DigitalDownConverter-DDC)的变频、抽取和低通滤波处理之后变为低速的基带信号,最后将基带信号送给通用DSP器件作后续的解调、解码、抗干扰、抗衰落、自适应均衡等处理。

这样大大降低了对ADC和DSP器件性能的要求,便于实现和降低成本。

数字上变频(DigitalUpConverter-DUC)与下变频是相对应的过程,DSP处理后的基带数字信号经过内插、滤波和上变频后,将信号传给DAC来完成后续的模拟处理环节。

数字上下变频器在这里起到ADC/DAC和通用DSP器件之间的桥梁作用。

因此,数字上下变频技术己经成为软件无线电接收机的核心技术之一,通用数字上下变频器也被越来越广泛的应用到各种军、民用无线通信设备以及电子战、雷达和信息化家电等领域。

自从GrayChip公司推出第一个单信道数字下变频专用芯片以来,数字上下变频器件的发展也很迅速。

目前,最著名、产品应用最广泛的公司有美国的Harris(1999更名为Intersil公司)、AD公司和Graychip等公司。

DDC代表产品有Harris公司的HSP50016,HSP50214系列;AD公司的AD6620,AD6624;Graychip公司的GC1011系列、GC1012系列等.DUC的产品有Harris公司的HSP50215;Graychip公司的GC4114等。

这些器件都具有较优异的性能参数和较强的功能。

许多型号的DDC芯片(如Intersil公司的HSP50214B)事实上其功能己远远不只是下变频,还包括了成形滤波器、定时同步内插滤波器、重采样NCO、坐标变换、数字AGC等功能其芯片内部的各个功能模块均是可编程的,将其与通用DSP器件结合,便可构成一个标准的数字化多模式软件无线电接收机硬件平台。

“数字上下变频”在软件无线电中的意义已不再仅仅是简单的上下变频概念了。

1.3课题研究内容及设计方案

本课题以变频技术为理论知识,以FPGA技术作为设计的技术手段,通过软件设计并实现数字上变频系统。

具体实施起来应该先了解通信原理的相关知识,仿真软件的应用,变频器的原理及设计方法,利用FPGA设计固定参数的上变频器,提出结构框图,对所设计的原理图进行仿真并计算,分析仿真结果多所设计变频器参数进行修正,使之符合要求,最后对上变频器的仿真、调试与完善并测试该变频器的性能指标。

本课题的工作流程如下安排,后续章节将围绕该设计步骤顺序对本次课题研究进行详细叙述。

(1)首先要理解数字上变频的基本原理,了解通信原理的相关知识。

(2)然后确定上变频器的总体实现方案,深入学习并掌握仿真软件的应用,功能和实际实现方法,并思考如何用软件来实现频谱搬移的功能。

(3)学习并能够熟练使用EDA工具完成设计流程,采用verilog语言,自行编写各关键功能模块的硬件描述语言程序。

并给出仿真波形图,反复对各模块进行改进,以求仿真波形最佳。

利用FPGA设计固定参数的上变频器,提出结构框图,对所设计的原理图进行仿真并计算,分析仿真结果;反复对各模块进行改进,对所设计的变频器参数进行修正,以求仿真波形最佳。

(4)最后对所设计的上变频器进行仿真、调试与完善,并测试该变频器的性能指标。

能够通过上变频器完成频谱的搬移。

本文首先概括性的介绍了数字上变频技术的理论基础,第二章对用到的工具FPGA进行了简要的介绍说明;第三章对上变频各个模块的关键技术有正交变换原理、多速率信号处理、高效数字滤波结构以及数控振荡器、混频器进行了一一阐述,第四章是本论文的重点,对上变频的设计思路和具体工作做出了详细的说明介绍;在第五章给出了系统的调试和验证结果。

2FPGA系统设计基础

2.1FPGA简介

FPGA(FieldProgrammableGateArray)即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。

作为专用集成电路(ASIC)领域中的一种半定制电路,FPGA既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

FPGA具有静态可重复编程或在线动态重构特性,使硬件的功能可像软件一样通过编程来修改,不仅使设计修改和产品升级变得十分方便,而且极大地提高了电子系统的灵活性和通用能力。

利用FPGA,设计人员可以在实验室中设计出专用IC,实现系统的集成,从而大大缩短了产品开发、上市的时间,降低了开发成本。

以FPGA为代表的数字系统现场集成技术正朝着低功耗,高频率、高灵活性的方向发展。

它不仅为数字电路的设计提供了很大的方便,而且在很大程度上改变了以往数字系统设计、调试、运行的工作方式。

首先,它使硬件的设计工作更加简单方便了。

因为电路的逻辑功能可以由编程数据设定,而且能在线装入和修改,所以硬件的设计和安装完全可以一次完成,这样就节省了修改硬件电路耗费的人力和物力。

而且对几种不同功能的逻辑电路可以采用相同的硬件电路,这也减少了许多硬件设计的工作量。

其次,在调试过程中通过写入编程数据很容易将电路设置成各种便于调试的状态,对电路进行测试,这比通过直接设置硬件电路的状态要方便得多。

最后,FPGA(一次性编程的除外)技术无需编程器和较高的编程电压,打破了先编程后装配的惯例,形成产品后还可以在系统内反复编程,可以快速有效地设计开发,加快系统预制及器件功能升级,减少电路走线,大大减少设计时间,缩短开发周期。

总之,FPGA的使用非常灵活,对于同一片FPGA,通过配置不同的编程数据可以产生不同的电路功能。

目前,FPGA在通信、数据处理、网络、仪器、工业控制、军事和航空航天等众多领域已经得到了广泛的应用。

2.2FPGA基本结构

目前生产FPGA的公司主要有Xilinx、Altera、Actel、Lattice、QuickLogic等,生产的FPGA品种和型号繁多。

尽管这些FPGA的具体结构和性能指标各有特色,但它们都有一个共同之处,即由逻辑功能块排成阵列,并由可编程的互连资源连接这些逻辑功能块,从而实现不同的设计。

典型的FPGA通常包含六部分,分别为可编程输入/输出单元、基本可编程逻辑单元、嵌入式块RAM、丰富的布线资源、底层嵌入功能单元和内嵌专用硬核等。

具体介绍如下:

(1)可编程输入/输出单元(I/O单元)

目前大多数FPGA的I/O单元被设计为可编程模式,即通过软件的灵活配置,可适应不同的电器标准与I/O物理特性;可以调整匹配阻抗特性,上下拉电阻;可以调整输出驱动电流的大小等。

(2)基本可编程逻辑单元

FPGA的基本可编程逻辑单元是由查找表(LUT)和寄存器(Register)组成的,查找表完成纯组合逻辑功能。

FPGA内部寄存器可配置为带同步/异步复位和置位、时钟使能的触发器,也可以配置成为锁存器。

FPGA一般依赖寄存器完成同步时序逻辑设计。

一般来说,比较经典的基本可编程单元的配置是一个寄存器加一个查找表,但不同厂商的寄存器和查找表的内部结构有一定的差异,而且寄存器和查找表的组合模式也不同。

学习底层配置单元的LUT和Register比率的一个重要意义在于器件选型和规模估算。

由于FPGA内部除了基本可编程逻辑单元外,还有嵌入式的RAM、PLL或者是DLL,专用的HardIPCore等,这些模块也能等效出一定规模的系统门,所以简单科学的方法是用器件的Register或LUT的数量衡量。

(3)嵌入式块RAM

目前大多数FPGA都有内嵌的块RAM。

嵌入式块RAM可以配置为单端口RAM、双端口RAM、伪双端口RAM、CAM、FIFO等存储结构。

CAM,即为内容地址存储器。

写入CAM的数据会和其内部存储的每一个数据进行比较,并返回与端口数据相同的所有内部数据的地址。

简单的说,RAM是一种写地址,读数据的存储单元;CAM与RAM恰恰相反。

除了块RAM,Xilinx和Lattice的FPGA还可以灵活地将LUT配置成RAM、ROM、FIFO等存储结构。

(4)丰富的布线资源

布线资源连通FPGA内部所有单元,连线的长度和工艺决定着信号在连线上的驱动能力和传输速度。

布线资源的划分:

一,全局性的专用布线资源:

以完成器件内部的全局时钟和全局复位/置位的布线;二,长线资源:

用以完成器件Bank间的一些高速信号和一些第二全局时钟信号的布线;三,短线资源:

用来完成基本逻辑单元间的逻辑互连与布线;还有其他在逻辑单元内部还有着各种布线资源和专用时钟、复位等控制信号线。

由于在设计过程中,往往由布局布线器自动根据输入的逻辑网表的拓扑结构和约束条件选择可用的布线资源连通所用的底层单元模块,所以常常忽略布线资源。

其实布线资源的优化与使用和实现结果有直接关系。

(5)底层嵌入功能单元

(6)内嵌专用硬核

与“底层嵌入单元”是有区别的,这里指的硬核主要是那些通用性相对较弱,不是所有FPGA器件都包含硬核。

目前绝大部分FPGA都采用查找表(LookUpTable,LUT)技术,如Altera的ACEX、APEX、Cyclone、Stratix系列,Xilinx的Spartan、Virtex系列等。

2.3FPGA的基本设计流程

FPGA的设计流程就是利用EDA开发软件和编程工具对FPGA芯片进行开发的过程。

FPGA的开发流程一般如图2一2所示,包括电路设计、设计输入、功能仿真、综合优化、综合后仿真、实现与布局布线、时序仿真与验证、板级仿真与验证,以及芯片编程与调试等主要步骤。

 

 

图2.1FPGA设计流程图

2.3.1设计输入方式和软件

设计输入方式主要有两种:

原理图设计和硬件描述语言设计。

现在主要流行的方式是用硬件描述语言设计(VHDL或VerilogHDL),而其中在亚洲许多国家和美国主要利用VerilogHDL语言设计,VHDL多是在欧洲和其它地区使用。

而对于设计工具来说,这两种语言都是支持的,并且综合出来的模块也是可以混合利用的。

对于设计软件,一般是每个FPGA提供商就有一套专门设计用的软件。

例如xilinx公司针对自己产品的ISE9.0设计软件,该软件也包含仿真、综合、时序分析等全部功能。

还有Altera公司的QuartusII8.0支持最新的cycloneII系列器件的整个设计流程。

此外,Lattice公司的ispLEVER7.0,FPGAAdvantage等。

在本设计中我采用的是Altera公司的QuartusII8.0。

2.3.2仿真的方法和软件

仿真的方法主要有两种:

(1)交互式仿真方法:

利用EDA工具的仿真器进行仿真,使用方便,但输入输出不便于记录规档,当输入量较多时不便于观察和比较。

(2)测试平台法:

为设计模块专门设计的仿真程序,可以实现对被测模块自动输入测试矢量,并通过波形输出文件记录输出,便于将仿真结果记录归档和比较。

而使用的仿真工具最快的是ModelTechnology公司开发的ModelSim软件。

此外仿真的方法还有利用matlab软件与EDA软件进行的联合仿真。

Matlab最新版本matlab2007还支持matlab与modelsim的联合仿真,这就大大减轻了测试工程师的工作量。

本设计中主要运用了QuartusII8.0中的VerliogHDL语言来编写各模块程序,再通过原理图连接,其中利用了Matlab2007的辅助,最后通过ModelSim6.5进行仿真,具体内容步骤在下文将做出详细介绍。

3数字上变频技术理论基础

数字变频技术一直是软件无线电的研究重点,也是影响软件无线电系统性能的关键部分之一。

3.1数字上变频原理概述

3.1.1数字混频正交变换

任何物理可实现的信号都是实信号,实信号的频谱具有共轭对称性,即正负频率幅度分量是对称的,而其相位分量正好相反。

所以对于一个实信号而言,只需其正频部分或负频部分就能够完全加以描述,不会丢失任何信息,也不会产生虚假信号。

如只取原实信号的正频部分z(t)(由于z(t)只含有正频分量,故z(t)为复信号),那么就把z(t)做x(t)的解析表示,即:

(3—1)

其中H[x(t)]叫做信号x(t)的Hilbert变换。

(3—2)

由于Hilbert变换是正交变换,所以解析信号z(t)的实部和虚部是正交的。

一个实信号的解析表示(正交分解)在信号处理中有着极其重要的作用,是软件无线电的基础理论之一,从解析信号中很容易获得信号的三个特征参数:

瞬时幅度、瞬时相位和瞬时频率,而这三个特征参数是信号分析、参数测量或识别解调的基础。

对于一个实的窄带信号:

(3—3)

所以窄带信号的解析表示为:

(3—4)

用极坐标形式可以表示为:

(3—5)

式中

称为信号的载频分量,它作为信息载体不含有用信息。

将上式乘以

,把载频下移ωc,得到基带信号(或称为零中频信号),记为

,有:

(3—6)

其中

,分别称为基带信号的同相分量和正交分量。

基带

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 表格模板 > 合同协议

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1