EDA微波炉定时控制器的设计.docx

上传人:b****5 文档编号:6132454 上传时间:2023-01-04 格式:DOCX 页数:25 大小:143.20KB
下载 相关 举报
EDA微波炉定时控制器的设计.docx_第1页
第1页 / 共25页
EDA微波炉定时控制器的设计.docx_第2页
第2页 / 共25页
EDA微波炉定时控制器的设计.docx_第3页
第3页 / 共25页
EDA微波炉定时控制器的设计.docx_第4页
第4页 / 共25页
EDA微波炉定时控制器的设计.docx_第5页
第5页 / 共25页
点击查看更多>>
下载资源
资源描述

EDA微波炉定时控制器的设计.docx

《EDA微波炉定时控制器的设计.docx》由会员分享,可在线阅读,更多相关《EDA微波炉定时控制器的设计.docx(25页珍藏版)》请在冰豆网上搜索。

EDA微波炉定时控制器的设计.docx

EDA微波炉定时控制器的设计

江西理工大学应用科学学院

SOPC/EDA综合课程设计报告

 

设计题目:

微波炉控制与设计

设计者:

钟鹏

学号:

37

班级:

测控081

指导老师:

王忠锋

完成时间:

2011-1-7

设计报告

综合测试

 

总评

格式

(10)

内容

(40)

图表

(10)

答辩

(20)

平时

(20)

 

目录

第一章微波炉定时控制器的设计方案分析3

1.1系统设计的要求3

1.2系统总体功能描述3

1.3各模块的功能实现4

第二章微波炉定时控制器的设计步骤6

2.1状态控制器的设计6

2.1.1controllor状态换图及端口图6

2.2数据装载器loader的设计7

2.3烹调计时器counter的设计7

2.3.1烹调计时器的内部组成原理图8

2.4显示译码器YMQ47的设计8

2.5锁存器的设计9

第三章微波炉控制器的VHDL源程序10

3.1各模块的VHDL源程序10

第四章总体原理图21

4.1总体功能的顶层原理图21

第五章系统功能的仿真验证22

5.1状态控制电路仿真波形图22

5.2数据装载电路的仿真22

5.3计时电路仿真23

5.4微波炉控制器显示仿真24

5.5SCQ的仿真图24

5.6总体功能的仿真分析25

结束语26

参考文献27

第一章微波炉定时控制器的设计方案分析

1.1系统设计的要求

现需设计一个微波炉控制器WBLCONTROLLOR,其外部接口如下图所示。

通过该控制器再配以4个七段数码二极管完成微波炉的定时及信息显示。

各信号的功能及要求如下:

CLK是秒时钟脉冲输入,它接收每秒一个时钟脉冲的节拍信号。

RESET为复位信号,高电平有效,用于芯片的复位功能。

TEST为测试信号,高电平有效,用于测试4个七段数码二极管工作是否正常。

Start为开始加热信号,高电平有效,SET_T信号为定时设置信号,高电平时可以设置定时时间,DATA为定时的时间,cook为加热输出(用指示灯代替),另外四个输出分别表示显示的定时时间的分和秒。

其他功能自行扩展并设计。

1.2系统总体功能描述

现需设计的微波炉控制器WBLCONTROLLOR的外部接口如图1-1所示,通过该控制器,再配以七段数码二极管完成微波炉的定时信息和信息的显示。

图1-1WBLCONTROLLOR的端口图

各信号功能及要求如下:

CLK是秒时钟脉冲输入,它接收每秒一个时钟脉冲的节拍信号。

RESET为复位信号,高电平有效,用于芯片的复位功能。

TEST信号是测试信号,高电平有效,用于测试七段数码管工作是否正常。

SET_T是烹调时间设置控制信号,高电平有效。

DATA0是一个16为总线输入信号,输入所设置的时间长短,它由高到低分为4组,每一组是BCD码输入,分别表示分、秒十位、个位的数字,如12分59秒。

START是烹调开始的控制信号,高电平有效。

COOK是烹调进行信号,外接用于控制烹调的继电器开关,高电平时表明烹调已经开始或正在进行,低电平表示烹调结束或没有进行。

MIN_0,MIN_1,SEC_0,SEC_1是四组七位总线信号,分别接4个七段数码管,动态的显示完成烹调所剩的时间及测试状态信息“0000”,烹调完毕的状态信息“DONE”.

该微波炉控制器WBLCONTROLLOR的具体功能要求如下[9]:

上电后系统首先处于复位状态。

在工作是首先按SET_T键设置烹调时间,此时系统输入DATA0的数据作为烹调所需的时间,然后系统自动回到复位状态,同时4个七段数码管显示时间信息。

在按START键后系统进入烹调状态。

COOK信号开始为高电平,此时4个七段数码管每隔一秒钟变化一次,用以刷新还剩剩余多少时间结束烹调。

烹调结束后,COOK信号变为低电平,同时4个七段数码管组合在一起显示“DONE”的信息,然后系统回到复位状态。

系统可以通过按RESET键随时回到复位状态。

在复位状态下,按TEST键在4个数码管上会显示“0000”的信息,它可以测试4个七段数码管工作是否正常。

1.3各模块的功能实现

根据该微波炉定时控制器的设计要求,本系统有四个模块:

状态控制模块controllor,数据装载模块loader,烹调计时模块counter,显示译码模块YMQ47,锁存器模块SCQ。

(1)状态控制器模块是控制微波炉工作过程中的状态转换,并发出有关的控制信息,输入信号为CLK,TEST,SET_T,START,TESET,DONE和SEL.输出信号为LD_DONE,LD_test,LD_CLK,PT,WB等。

根据输入的控制信号来完成完成状态转换;LD_DONE指示LOADER装入烹调完毕的状态信息“DONE”的显示驱动信息数据;LD_CLK指示LOADER装入设置的烹饪时间数据;LD_test指示LOADER装入用于测试的数据“0000”以显示驱动信息数据;COOK指示烹调正在进行中,并提示计数器进行减计数,PT和WB是两种状态的选择显示信息。

(2)数据装载器LOADER的功能是根据CONTROLLOR发出的控制信号选择定时时间,测试数据或烹调完成信息的装入。

当LD_DONE为高电平时,输出烹调完毕的信息数据;LD_CLK为高电平时,输出设置的烹饪时间数据;LD_test为高电平是,输出测试的数据。

输出信号LOAD用于指示counter将处于数据装入状态。

(3)计时器counter的功能是负责烹调过程中的时间递减计数,并提供烹调完成时的状态信号供CONTROLLOR产生烹调完成信号。

LOAD为高电平时完成装入功能,COOK为高电平时执行逆计数功能。

输出DONE指示烹调完成。

MIN_1,MIN_0,SEC_1,SEC_0为完成烹调所剩的时间及测试状信息“0000”,烹调完毕的状态信息“DONE”的BCD码信息。

(4)显示译码器YMQ47的功能是负责将各种显示信息的BCD码转换成七段数码管显示的驱动信息编码。

需要译码的信息有:

数字0-9,字母D,O,N,E。

1-2YMQ47原理图

 

第二章微波炉定时控制器的设计步骤

2.1状态控制器的设计

状态控制器的功能是控制微波炉工作过程中的状态转换,并发出有关的控制信息,因此可以用一个状态机来实现。

经过对微波炉工作过程中的状态转换条件及输出信号进行分析,我们可以得到其状态转换图如图2-1所示。

2.1.1controllor状态换图及端口图

图2-1CONTROLLOR的状态转换图

说明:

SET_T烹调时间设置状态,LAMP_TEST数码管测试状态;DONE_MSG完成信息显示状态,TIMER减数定时状态。

CONTROLLOR的端口图如下图所示:

图2-2CONTROLLOR的端口图

2.2数据装载器loader的设计

LOADER的输入、输出端口如图2-3所示,根据其应完成的逻辑功能,它本质上就是一个三选一数据选择器。

数据选择是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去。

实现数据选择功能的逻辑电路称为数据选择器。

它的作用相当于多个输入的单刀多掷开关。

数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。

数据选择器的应用很广,它可以作二进制比较器、二进制发生器、图形发生电路、顺序选择电路。

本设计采用一个进程来完成,但由于三个被选择的数据只有一个来自输入端口,因此另两个被选择的数据则通过在进程的说明部分定义两个常数来产生。

由于用于显示“8888”的常数ALL8需分解成4个8,分别经过四个4-7译码器译码后才是真正的显示驱动信息编码,因此该常数应是4个分段的4位BCD码,故应设为“1000100010001000”。

同理,显示“donE”的常数DONE可设为“1010101111001101”,其中D,O,N,E的BCD码分别为:

“1010”、“1011”、“1100”、“1101”。

该模块主要实现对状态控制器发出的状态信息的相应数据的装载功能。

图2-3loader的端口图

2.3烹调计时器counter的设计

计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路。

计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能。

计数器是由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS触发器、T触发器、D触发器及JK触发器等[10]。

计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等。

计数器按计数进制不同,可分为二进制计数器、十进制计数器、其他进制计数器和可变进制计数器,若按计数单元中各触发器所接收计数脉冲和翻转顺序或计数功能来划分,则有异步计数器和同步计数器两大类,以及加法计数器、减法计数器、加/减计数器等,如按预置和清除方式来分,则有并行预置、直接预置、异步清除和同步清除等差别,按权码来分,则有“8421”码,“5421”码、余“3”码等计数器,按集成度来分,有单、双位计数器等等[10]。

烹调计时器counter为减数计数器,其最大计时时间为59分59秒,因此我们可以用两个键计数十进制计数器cnt10和两个减计数六进制cnt6级联构成。

2.3.1烹调计时器的内部组成原理图

烹调计时器counter的内部组成原理图如图2-4所示。

图2-4counter的内部组成原理图

说明:

CLK为时钟输入信号,LOAD为装载控制信号,COOK为使能信号,DATA[15.0]为数据输入信号,SEC_1,SEC_0,MIN_1,MIN_0分别为妙,分的十位个位的输出,DONE为烹调状态的信息指示信号。

当使能段信号有效,且时钟上升沿来时计数器根据装载数据的信息装入数据,并完成相应的功能。

2.4显示译码器YMQ47的设计

本显示译码器不但要对数字0-9进行显示译码,还要对字母D,O,N,E进行显示译码。

其译码对照表如表2-1所示,

 

 

表2-1YMQ47的译码对照表[

显示的数字或字母

BCD编码

七段显示驱动编码

0

0000

0111111

1

0001

0000110

2

0010

1011011

3

0011

1001111

4

0100

1100110

5

0101

1101101

6

0110

1111101

7

0111

0000111

8

1000

1111111

9

1001

1101111

D

1010

1011110

O

1011

1011100

N

1100

1010100

E

1101

1111001

2.5锁存器的设计

锁存器SCQ的输入、输出端口如图2-5所示

图2-5SCQ的端口图

此单元主要用于完成定时时间到蜂鸣报警信息的实现,当时钟上升沿到来且清零信号无效时,同时COOK信号开始有高电平变为低电平时,输出信号则是蜂鸣器报警。

其实质就是一个D触发器的功能。

第三章微波炉控制器的VHDL源程序

3.1各模块的VHDL源程序

下面是各模块的源程序:

LIBRARYIEEE;--定义库文件

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_ARITH.ALL;

ENTITYcontrollorIS

PORT(RESET:

INSTD_LOGIC;--复位信号

SET_T:

INSTD_LOGIC;--时间设置信号

START:

INSTD_LOGIC;--开始烹调信号

TEST:

INSTD_LOGIC;--显示电路测试信号

CLK:

INSTD_LOGIC;--时钟信号

DONE:

INSTD_LOGIC;--完成信号

SEL:

INSTD_LOGIC;

COOK:

OUTSTD_LOGIC;

LD_test:

OUTSTD_LOGIC;

LD_CLK:

OUTSTD_LOGIC;

LD_DONE:

OUTSTD_LOGIC;

PT:

OUTSTD_LOGIC;

WB:

OUTSTD_LOGIC);

ENDENTITYcontrollor;

ARCHITECTUREARTOFcontrollorIS

TYPESTATE_TYPEIS(IDLE,LAMP_TEST,SET_CLOCK,TIMER,DONE_MSG);--状态

SIGNALNXT_STATE,CURR_STATE:

STATE_TYPE;

BEGIN

PROCESS(CLK,RESET)IS

BEGIN

IFRESET='1'THEN--清零

IFSEL='0'THEN

PT<='1';WB<='0';

ELSEPT<='0';WB<='1';

ENDIF;

CURR_STATE<=IDLE;

ELSIFCLK'EVENTANDCLK='1'THEN

CURR_STATE<=NXT_STATE;

ENDIF;

ENDPROCESS;

PROCESS(CLK,CURR_STATE,SET_T,START,TEST,DONE)IS

BEGIN

NXT_STATE<=IDLE;

LD_test<='0';

LD_DONE<='0';

LD_CLK<='0';

COOK<='0';

CASECURR_STATEIS

WHENLAMP_TEST=>LD_test<='1';COOK<='0';--译码显示测试状态

WHENSET_CLOCK=>LD_CLK<='1';COOK<='0';--烹调时间测试状态

WHENDONE_MSG=>LD_DONE<='1';COOK<='0';--完成信息显示状态

WHENIDLE=>

IF(TEST='1')THEN--初始状态

NXT_STATE<=LAMP_TEST;

LD_test<='1';

ELSIFSET_T='1'THEN

NXT_STATE<=SET_CLOCK;

LD_CLK<='1';

ELSIF((START='1')AND(DONE='0'))THEN

NXT_STATE<=TIMER;

COOK<='1';

ENDIF;

WHENTIMER=>

IFDONE='1'THEN--减法计数定时状态

NXT_STATE<=DONE_MSG;

LD_DONE<='1';

ELSE

NXT_STATE<=TIMER;

COOK<='1';

ENDIF;

ENDCASE;

ENDPROCESS;

ENDARCHITECTUREART;

数据装载电路的VHDL实现

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_arith.all;

entityloaderis

port(

datain:

instd_logic_vector(15downto0);

ld_test:

instd_logic;

ld_clk:

instd_logic;

ld_done:

instd_logic;

dataout:

outstd_logic_vector(15downto0);

load:

outstd_logic

);

endloader;

architecturertlofloaderis

begin

process(datain,ld_test,ld_clk,ld_done)

constantalls:

std_logic_vector(15downto0)--测试信息

:

="1000100010001000";

constantdone:

std_logic_vector(15downto0)--烹调完成信息

:

="1010101111001101";

variabletemp:

std_logic_vector(2downto0);

begin

load<=ld_testorld_doneorld_clk;

temp:

=ld_test&ld_done&ld_clk;

casetempis

when"100"=>--测试

dataout<=alls;

when"010"=>--烹调完成

dataout<=done;

when"001"=>--设置时间

dataout<=datain;

whenothers=>null;

endcase;

endprocess;

endrtl;

计时电路的VHDL实现

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entitycnt10is

port(

clk:

instd_logic;

load:

instd_logic;

en:

instd_logic;

datain:

instd_logic_vector(3downto0);

q:

outstd_logic_vector(3downto0);

carry_out:

outstd_logic

);

endcnt10;

architecturertlofcnt10is

signaltmp:

std_logic_vector(3downto0);

begin

process(clk,load,en)

begin

ifload='1'then

tmp<=datain;

elsifclk'eventandclk='1'then

ifen='1'then

iftmp="0000"then

tmp<="1001";

else

tmp<=tmp-'1';

endif;

endif;

endif;

endprocess;

process(clk,tmp)

begin

ifclk'eventandclk='1'then

iftmp="0000"then

carry_out<='1';

else

carry_out<='0';

endif;

endif;

endprocess;

q<=tmp;

endrtl;

---六进制减法计数器

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entitycnt6is

port(

clk:

instd_logic;

load:

instd_logic;

en:

instd_logic;

datain:

instd_logic_vector(3downto0);

q:

outstd_logic_vector(3downto0);

carry_out:

outstd_logic

);

endcnt6;

architecturertlofcnt6is

signaltmp:

std_logic_vector(3downto0);

begin

process(clk,load,en)

begin

ifload='1'then

tmp<=datain;

elsifclk'eventandclk='1'then

ifen='1'then

iftmp="0000"then

tmp<="0101";

else

tmp<=tmp-'1';

endif;

endif;

endif;

endprocess;

process(clk,tmp)

begin

ifclk'eventandclk='1'then

iftmp="0000"then

carry_out<='1';

else

carry_out<='0';

endif;

endif;

endprocess;

q<=tmp;

endrtl;

计时电路模块设计

libraryieee;

useieee.std_logic_1164.all;

entitycounteris

port(

cook:

instd_logic;

load:

instd_logic;

clk:

instd_logic;

data:

instd_logic_vector(15downto0);

sec0:

outstd_logic_vector(3downto0);

sec1:

outstd_logic_vector(3downto0);

min0:

outstd_logic_vector(3downto0);

min1:

outstd_logic_vector(3downto0);

done:

outstd_logic

);

endcounter;

architecturertlofcounteris

---定义十进制和六进制计数器电路模块

componentcnt10is

port(

clk:

instd_logic;

load:

instd_logic;

en:

instd_logic;

datain:

instd_logic_vector(3downto0);

q:

outstd_logic_vector(3downto0);

carry_out:

outstd_logic

);

endcomponentcnt10;

componentcnt6is

port(

clk:

instd_logic;

load:

instd_logic;

en:

instd_logic;

datain:

instd_logic_vector(3downto0);

q:

outstd_logic_vector(3downto0);

carry_out:

outstd_logic

);

endcomponentcnt6;

signalclk0:

std_logic;

signals0:

std_logic;

signals1:

std_logic;

signals2:

std_logic;

signals3:

std_logic;

begin

--元件例化

U1:

cnt10portmap(clk,load,cook,data(3downto0),sec0,s0);

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 求职职场 > 简历

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1