软件工程实验火车票自动售票系统分析报告.docx

上传人:b****3 文档编号:5492096 上传时间:2022-12-17 格式:DOCX 页数:86 大小:649.02KB
下载 相关 举报
软件工程实验火车票自动售票系统分析报告.docx_第1页
第1页 / 共86页
软件工程实验火车票自动售票系统分析报告.docx_第2页
第2页 / 共86页
软件工程实验火车票自动售票系统分析报告.docx_第3页
第3页 / 共86页
软件工程实验火车票自动售票系统分析报告.docx_第4页
第4页 / 共86页
软件工程实验火车票自动售票系统分析报告.docx_第5页
第5页 / 共86页
点击查看更多>>
下载资源
资源描述

软件工程实验火车票自动售票系统分析报告.docx

《软件工程实验火车票自动售票系统分析报告.docx》由会员分享,可在线阅读,更多相关《软件工程实验火车票自动售票系统分析报告.docx(86页珍藏版)》请在冰豆网上搜索。

软件工程实验火车票自动售票系统分析报告.docx

软件工程实验火车票自动售票系统分析报告

软件工程实验火车票自动售票系统分析报告

火车票自动售票系统

实验报告

 

学院:

电子与信息工程学院

专业:

软件工程

班级:

2020级本

(1)班

姓名:

刘亿、崔佳、曾玉婷、刘硕、张丽君

指导教师:

彭蕾

起讫时刻:

2021.02——2021.06

 

(初学者实验报告)

名目

1可行性研究报告引言

1.1编写目的

可行性研究的目的为明确将要设计的软件是否有开发价值,以最小的代价在最短的时刻内确定问题是否可解。

对系统的开发是否是可行的。

尽管对此项目进行详细调查研究,初拟系统实验报告,对软件开发中将要面临的问题及其解决方案进行初步设计及合理安排。

明确开发风险及其带来的经济效益。

要紧读者:

项目总监,项目经理,设计人员,开发人员,客户参考。

1.1.2背景

开发软件名称:

火车站自动售票系统

项目任务提出者:

井冈山大学电子与信息工程学院软件工程课程设计提出

项目开发者:

曾玉婷、刘亿、刘硕、崔佳、张丽君

用户:

吉安火车站

实现软件单位:

10软件工程本一班

项目与其他软件、系统的关系:

本项目采纳客户/服务器原理,客户端的程序是建立在Windowsxp系统上一JAVA位开发软件的应用程序,是采纳SQLserver的为开发软件的数据服务程序。

1.1.3定义

本项目要紧用于查询〔查询票价,票数查询,时刻查询〕,售票〔订票、售票〕,统计〔统计详细信息,生成表〕,实现了票额、座席、制票、运算、结算和统计等运算机治理,为铁路客户服务提供了有效的调控手段,系统爱护功能。

1.1.4参考资料

[1]«软件工程导论»〔第四版〕张海藩,清华大学出版社,2003年版.

[2]«人月神话»FrederickP.BrooksJr,清华大学出版社,2002年版.

[3]«软件工程有用教程»陈明,电子工业出版社,2004年版.

[4]«软件工程与项目治理»刘竹林、白振林、卢润彩编著,北京师范大学出版社,2020年7.

[5]赖义汉.自动售货机操纵系统VHDL有限状态机实现[M].福建:

物理学与机电工程学院.

[6]王雯隽.基于Verilong-HDL自动售货机的设计与实现[J].2005.5.

[7]王晓峰.电子设计的EDA技术应用[J].长春师范学院学报:

自然科学版,2005.

[8]阎石.数字电子技术基础[M].4版.北京:

高等教育出版社,1998.

[9]侯伯亨.VHDL硬件描述语言与数字逻辑电路设计[M].陕西:

西安电子科技大学出版社,1999.

[10]东方人华.MAX+PLUSⅡ入门与提高[M].北京:

清华大学出版社,2004.

[11]世界第一条地铁[J].岩土工程界,2004年第4期.

[12]杨东援.世界地铁进展令人瞩目.杨东援教授个人主页.

[13]黎江,杨承东.自动售检票(AFC)系统软件体系结构的探讨[J].轨道交通,2006.12.

[14]邓先平,陈凤敏.我国都市轨道交通AFC系统的现状及进展[J].都市快讯交通,2005.3.

[15]余世明,晁岳磊,缪仁将.自动售货机研究现状及展望[J].中国工程科学,2020.

[16]袁亚恒,周伟.基于VHDL的自动售货机设计[J].武汉理工大学学报,2007.

[17]林晶晶,胡志群,周晓燕.基于VHDL自动售货机的设计[J].内江师范学院学报,2020.

[18]曹昕燕,周风臣,聂春燕.EDA技术实验与课程设计[M].北京:

清华大学出版社,2006.

[19]Thomas&Moorby.TheVerilogHardwBreDescriptionLanguage[M].北京:

清华大学出版社,2001.

[20]潘松,王国栋.VHDL有用教程[M].成都:

电子科技大学出版式社,2003.

[21]宋万杰.CPLD技术及其应用.[M].西安:

西安电子科技大学出版社,1999.

[22]裴进灵.一种新型自动售货机的研制[J].科技情报开发与经济,2002.

[23]朱军.自动售货机的结构[J].信息与电脑,1995.

[24]周美兰.FPGA电气操纵与组态设计[M].北京:

科学出版社,2003.

[25]白丽.自动售货机:

第三次零售业革命[J].电子商务,2005.

[26]郑大宇,刘立晶.自动售货机送出机构的设计及优化[J].黑龙江商学院学报(自然科学版),2000.

[27]郑大宇,崔延华.自动售货机接入Intemet的一种新设计[J].哈尔滨商业大学学报(自然科学版),2004.

[28]詹昌平,金瓯.基于移动支付的自动售货机[J].现代电子技术,2004.

[29]柳赛虎.手机付费的多媒体自动售货机的操纵系统设计[D].成都:

西南交通大学,2006.

 

1.2可行性研究的前提

1.2.2要求

图1.1

功能层次图

 

1.要紧功能:

完善火车票售票系统,方便铁路局的售票售票工作,提高铁路局的服务质量和服务效率。

2..性能要求:

火车站提供的信息必须及时的反映在铁路局的工作平台上。

售票系统的订单必须无差错的储备在火车站的主服务器上。

对服务器上的数据必须进行及时正确的刷新。

3.输入要求:

数据完整,详实。

4.输出要求:

简捷、快速、实时。

5.安全与保密:

售票员亭信息数据库、列车信息库的治理与修改。

旅客只享有对票务信息库的部分修改〔写入与读出〕。

1.2.2目标

为提高火车站售票效率,防范风险,实现票务信息资料共享,以适应现代化车站治理要求,为车站治理、票务信息提供详细的信息、明确的信息,具体如下:

1.建立车票综合查询系统。

2.减少售票员的数量与工作量,售票速度提高。

3.缓解售票大厅与人员拥堵问题。

1.2.3条件、假定和限制

该系统的最短寿命为5年,运行环境windows2000及以上的操作系统,网络方面能够直截了当利用火车站现有网络。

1.2.4进行可行性研究的方法

经济评判法、市场推测法、投资估算法和增量净效益法、购票亲躯体验。

1.2.5评判尺度

1.成本

2.技术可行性

3.操作可行性

1.3对现有系统的分析

1.3.1数据流程和处理流程

图1.2

售票流程图

图1.3

查询流程图

 

Y

N

连续查询

Y

N

 

1.3.2工作负荷

现有系统承担整个铁路局所有的旅客购票业务。

1.3.3费用开支

运行现有系统需支付费用清单如下:

系统爱护人员支出:

400元/〔人天〕*10〔人〕=4000元/天

机器磨损费用:

20000元/天

机器〔硬件〕爱护费用:

100元/〔人天〕*200=20000元/天

其他一次性投资:

操作员培训费:

50000

终端PC机20台:

8000*20=1600000

网络设备:

100000

辅助配置:

100000

 

1.3.4人员

表1-1开发人员

开发人员

角色

任务

曾玉婷

组长

需求调研,可行性研究报告,测试打算,汇总

刘亿

组员

项目开发打算,详细设计

刘硕

组员

软件需求说明书,测试打算

张丽君

组员

数据要求说明书

崔佳

组员

概要设计,详细设计

表1-2用户

所用软件人员

功能

用户

注册、登入、售票、查询

治理员

治理数据库

系统爱护员

爱护系统

1.3.5设备

终端PC机,网络设备,服务工作站

1.3.6局限性

本系统适用于火车站那售票治理系统,实现销售卧铺、坐票等功能,本系统还存在系统爱护及费用支出大,人员与设备技术含量低,功能单一等一些问题,需要在日后的爱护工作中加以改善。

1.4所建议的系统

采纳HVDL实现系统设计,VHDL的英文全名是Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage,产生于1982年。

1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。

自IEEE公布了VHDL的标准版本,IEEE-1076〔简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具能够和VHDL接口。

此后VHDL在电子设计领域得到了广泛的同意,并逐步取代了原有的非标准的硬件描述语言。

1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,〔简称93版〕。

现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。

有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。

VHDL语言是一种用于电路设计的高级语言。

它在80年代的后期显现。

最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范畴较小的设计语言。

VHDL的英文全写是:

VHSIC〔VeryHighSpeedIntegratedCircuit〕HardwareDescriptionLanguage.翻译成中文确实是超高速集成电路硬件描述语言。

因此它的应用要紧是应用在数字电路的设计中。

目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。

因此在一些实力较为雄厚的单位,它也被用来设计ASIC。

VHDL要紧用于描述数字系统的结构,行为,功能和接口。

除了含有许多具有硬件特点的语句外,VHDL的语言形式和描述风格与句法是十分类似于一样的运算机高级语言。

VHDL的程序结构特点是将一项工程设计,或称设计实体〔能够是一个元件,一个电路模块或一个系统〕分成外部〔或称可视部分,及端口)和内部〔或称不可视部分〕,既涉及实体的内部功能和算法完成部分。

在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就能够直截了当调用那个实体。

这种将设计实体分成内外部分的概念是VHDL系统设计的差不多点。

VHDL差不多结构

VHDL程序包括了三部分:

程序包、实体、结构体。

1〕程序包:

定义在设计结构体和实体中将用到的常数、数据类型、子程序和设计好的电路单元等。

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_ARITH.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

2〕实体:

设计系统单元所需要的输入输出端口信息。

ENTITY实体名称IS

PORT(

端口信号名称1:

输入/输出状态数据类型;

端口信号名称1:

输入/输出状态数据类型;

…………

端口信号名称N:

输入/输出状态数据类型

);

END实体名称;

3〕结构体:

描述电路单元具体的内部结构和逻辑功能。

ARCHITECTURE结构体名OF实体名称IS

说明语句

BEGIN

电路功能描述语句

END结构体名;

结构体本卷须知:

①结构体不能单独存在,它必须有一个界面说明,即一个实体。

②每个实体能够有一个或多个结构体,每个结构体对应着实体不同结构和算法实现。

多个结构体名应区分。

③关于具有多个结构体的实体,必须用CONFIGURATION配置。

④结构体中说明和定义的数据类型、常数等只能用于该结构体中,假设要用于其他实体或结构体中,需将其作为程序包来处理。

1.4.1对所建议系统的说明

本系统操作方便,结合数据库安全可靠。

能够完成顾客的查询、购票、付款等操作,减少了售票员的工作负担,幸免由于售票员误操作给顾客造成的缺失。

为车站提供高效的车票治理、统计。

实行了车票的公布透亮的售票制度,实现了售票系统的电子自动化。

1.4.2数据流程和处理流程

图1.3

数据流程图

处理流程

火车站自动售票系统大致可分为四个功能模块:

车次选择模块、钱币处理模块、余额运算模块、显示功能模块。

图1.4

系统总体框图:

 

1〕车票选择模块。

当自动售票机通电时,表示乘客购票开始;乘客正常购买车票操作失误包括改变购买意向或不再购买,按rd按钮重新进入初始状态;在初始状态path、pri和qua生效时,顾客能够依照自己的需要,选择其中一种车票(只限一种)和票数,进入下一模块。

2〕钱币处理模块。

能够用纸币或者银行卡直截了当支付。

3〕余额运算模块。

当投人钱币总额大于或等于车票价格,同时按确认键后出票并找零;假设投入钱币总额小于车票价格,售票机不出票。

4〕显示功能模块。

此模块依照输入提供的数据,用数码管来显示选择的是几号线、所选票面额、购买的票数、投入的钱币额及找赎的钱额。

1.4.3改进之处

反应速度快、效率高、系统稳固

1.4.4阻碍

1.4.4.1对设备的阻碍

有部分设备需要经常更新

1.4.4.2对软件的阻碍

该软件能够兼容其他软件,充分利用其他软件的优点,能够更好的发挥其作用。

1.4.4.3对用户单位机构的阻碍

该软件操作比较简单,对用户简单培训就能够上岗进行操作,同时用户只需简单操作就能够完成任务,大大地提高了工作效率。

1.4.4.4对系统运行的阻碍

用户操作过程中如有错误能够返回首页,重新选择;减少资源白费,使系统资源得到充分利用。

1.4.4.5对开发的阻碍

开发过程中需要进行紧密的配合,准确阐明要求。

1.4.4.6对地点和设施的阻碍

无阻碍

1.4.4.7对经费支出的阻碍

要紧包括购买系统开发所需软、硬件设备的费用,系统开发技术人员的薪酬,系统运行及爱护的费用。

1.4.5局限

该系统没有实现退票及改票功能,旅客还需要到人工售票实现该操作。

1.4.6技术条件方面的可行性

该软件是以数据库的设计与操作为系统设计的核心。

在大学期间通过对数据库,编程语言及软件工程的相关课程的学习,具备一定的系统分析与设计能力,能够较熟悉地对数据库进行设计与操作。

1.5可选择的其他系统方案

1.5.1可选择的系统方案1

需要购票的顾客,进入页面后,输入所要购买车票的信息,进入检索系统,进行查询。

等待车站车票信息中心的反馈信息。

不存在所要购买的车票,退出本次业务,。

存在所要车站的车次车票〔1〕利用自己的银行卡,购买车票。

第一,将银行卡插入ATM机,输入密码。

在第一,二,三次正确输入密码后,方可进入操作页面,假如第四次错误,ATM机将认为这次是非法操作,ATM机吞卡ATM机查询该银行卡上余额是否足够购买车票。

否,退出该次业务退卡。

是那么购买,ATM机在卡上扣除车票的钱,将划账信息传递给银行结算中心,将购买该车票的信息传递给车站车票处理中心。

ATM机打印车票,打印ATM机业务小票,本次业务成功终止,退卡。

(2)支付车票所需的现金,系统先送出车票,然后送出纸币找零,或者转入与身份相对应的银行卡中。

1.5.2可选择的系统方案2

需要购票的顾客,利用自己的银行卡,购买车票。

第一,将银行卡插入ATM机,输入密码。

在第一,二,三次正确输入密码后,方可进入操作页面,假如第四次错误,ATM机将认为这次是非法操作,ATM机吞卡。

进入ATM机页面后,输入所要购买车票的信息,进入检索系统,进行查询。

等待车站车票信息中心的反馈信息。

不存在所要购买的车票,退出本次业务,那么退卡。

存在所要车站的车次车票,购买。

ATM机查询该银行卡上余额是否足够购买车票。

否,退出该次业务退卡。

是那么购买,ATM机在卡上扣除车票的钱,将划账信息传递给银行结算中心,将购买该车票的信息传递给车站车票处理中心。

ATM机打印车票,打印ATM机业务小票,本次业务成功终止,退卡。

备选方案比较:

备选方案1与备选方案2差不多功能相同,然而备选方案2必须使用银行卡,尽管如此然而他具有普及性只要在现有的银行取款机中增加此项业务就行如此售票点会更多人们买票也就会越容易,同时减轻了售票机及同意现金又同意刷卡系统负荷,因此下面我们只针对备选方案2作后面可行性分析。

1.6投资及收益分析

1.6.1支出

1.6.1.1差不多建设投资

购买软件、硬件、设备等。

1.6.1.2其他一次性支出

1、需求的研究和设计的研究;

2、开发打算与测量基准的研究;

3、数据库的建立;

4、检查费用和技术治理费用;

5、培训费、旅差费以及安装人员所需要的一次性费用。

1.6.1.3非一次性支出

1、设备的租金和爱护费用;

2、软件的租金和爱护费用;

3、人员的工资、奖金;

4、空间的开支;

5、公共设施方面的开支;

6、保密安全方面的开支;

7、其他经常性的支出等。

1.6.2收益

1.6.2.1一次性收益

1.6.2.2非一次性收益

〔按银行利率:

1%〕;

减少职员20人〔1000元/人〕五年收益:

1000*〔1.1+〔1.1〕*2+〔1.1〕*3+〔1.1〕*4+〔1.1〕*5〕*20*12*5=120万

工作效率提高收益〔工作效率提高30%〕:

30*〔1.1+〔1.1〕*2+〔1.1〕*3+〔1.1〕*4+〔1.1〕*5〕*〔30%〕*5=45万

经常性收益共计:

160万

1.6.2.3不可定量的收益

服务质量的提高,使得顾客量的提高,从而提高销量。

1.6.3收益/投资比

总收益估算为:

700万元

投资估算为:

450万元

收益/投资=700/450=1.55

1.6.4投资回收周期

5年

1.6.5敏锐性分析

该系统最多需要支持20台运算机同时运行系统工作,假设运算机数量过多会增加系统负荷量和处理速度,降低工作效率,总机性能不要过低。

1.7社会条件方面的可行性

1.7.1法律方面的可行性

火车售票系统是一个有关于亿万中国人的系统,能够说是与国计民生有着重要的联系,为人们提供方便的服务。

而且该软件在没有任何原型软件与方法,因此在法律方面可不能存在侵犯专利权,侵犯版权等问题,完全按照合同规定的做着工作。

1.7.2使用方面的可行性

本产品简单易用,只需要通过简单的操作培训,或者用户手册即可熟练操作。

1.8结论

通过初步的系统调查,给出了可行性研究报告,还须对现行系统进行全面、深入的详细调查和分析,弄清晰现行系统运行状况,发觉其薄弱环节,或系统的弊端问题,挖掘出要解觉的问题实质,以对新系统进行扩充,确保新系统比原系统更有效。

由于投资效益远大于100%,技术、经济、操作都有可行性,能够进行开发。

2项目开发打算引言

2.1.1编写目的

该项目开发打确实是依照铁道部票务部门对车票治理和预先订票的要求编写的,目的是讨论小组成员做的可行性研究,共同制定该项目开发的的总体打算,使小组成员在工作前有纲领性打算,提高工作效率,节约能力和物力资源。

2.1.2项目背景

开发软件的名称:

火车票自动售票系统

项目的任务提出者:

老师

项目开发者:

电子与信息工程学院运算机系10级软件工程本一班第五小组

用户:

乘客

实现软件的单位:

学校

项目与其他软件或其他系统的关系:

相辅相成、互相学习。

火车站市场的治理和规范问题,是困扰我们多年的一个老问题,也是政府治理中的一个难点,订票是客运业务中的一个最差不多的业务,表面上看,它只是火车站业务的一个简单的部分,然而它涉及到治理与客户服务等多方面,因此,过去传统的售票方式已经不能满足现代客运业务流量剧增的客观要求,这就要求一种全新的订票方式——火车票自动售票机,来缓解订票高峰时期的客运压力,并为用户提供方便快捷的订票服务。

目前火车站售票的状况是仅靠手工操作,以现有的工作人员专门难应对车票订购高峰时刻的大量数据处理问题。

同时还会显现由此带来的大量记录存放和治理所带来的问题。

本次设计拟开发一个火车站网上订票系统,能够降低工作人员的工作量,提高工作人员的工作效率,同时方便顾客订票。

2.1.3定义

实体:

客观世界中存在的且可互相区别的事物。

联系:

客观事物中的彼此之间的关系。

属性:

实体或者联系所具有的性质。

2.1.4参考资料

a.项目经核准的打算任务书、合同或上级机关的批文

b.参考书籍

«软件工程导论〔第5版〕» ———张海藩编著

                清华大学出版社

  «软件工程导论〔第2版〕»———RajibMall编著

〔马振晗胡晓译〕

清华大学出版社

«软件工程»     ———王利福张世琨朱冰编著

                北京大学出版社

  «数据库原理及应用» ———李绍原主编

                科学出版社

«数据库治理系统从技术到实践»———张国辉编著

网络信息资源以及一些相关的软件产品。

2.2项目概述

2.2.1工作内容

«火车票自动售票系统»针对的用户是需要查询火车票信息及购买火车票的人。

该系统需要实现如下要紧功能及相关说明:

A.登入系统:

通过有效的身份验证,登入系统。

B.火车票信息查询:

站与站的火车票信息查询、火车车次的查询、座位类型设定、以及时刻的查询。

C.购买火车票:

在查询火车票信息之后,确认购票。

D.支付:

选择支付方式,进行购票。

E.储存用户信息:

用户购票成功后,自动储存用户信息。

F.打印车票

G.退出系统。

2.2.2要紧参加人员

组长:

曾玉婷

组员:

刘亿、崔佳、刘硕、张丽君

2.2.3产品及成果

2.2.3.1程序

1〕程序名称:

train-kicket-net

2〕使用语言:

c++

3〕储备形式:

硬盘储备

2.2.3.2文件

1.关于程序的说明性文档

2.关于使用时的说明性文档

3.关于系统爱护的指导文档

2.2.3.3服务

1.人员培训,为相关部门的工作人员提供必要的技术培训;

2.安装、爱护和运行支持从使用该系统开始,爱护期限为一年。

2.2.3.4非移交产品

项目的修改信息、项目的差不多打算方案等

2.2.4验收标准

各个功能均能正常使用,满足用户的需求。

2.2.5完成项目的最迟期限

完成期限:

2021年06月03日

2.2.6本打算的审批者与批准者

审批者:

老师

批准者:

老师

2.3实施总打算

2.3.1工作任务的分析

可行性研究报告曾玉婷

项目开发打算刘亿

软件要求说明书刘硕

数据要求说明书张丽君

概要设计崔佳

详细设计刘亿、崔佳

测试打算曾玉婷、刘硕

2.3.2接口人员

曾玉婷、刘亿、崔佳、刘硕、张丽君

2.3.3进度

系统规划时期:

项目标志性事件开始到完成

开发时期:

项目开发打算书的完成5.06-5.07

需求分析时期:

系统需求说明书完成5.08-5.10

设计时期:

系统设计说明书5.11-5.15

编码实现:

项目的形成5.16-5.25

测试时期:

测试打算5.26-5.30

移交信息:

项目的递交5.31-6.02

模块名称

起始时刻

完成时刻

登陆

09.9.20

09.10.10

查询

09.10.11

09.10.31

网上付款

09.11.01

09.11.15

储存用

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 解决方案 > 学习计划

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1