毕业设计论文vhdl硬件描述语言报告基于vhdl的乒乓球游戏机的设计.docx

上传人:b****6 文档编号:5245967 上传时间:2022-12-14 格式:DOCX 页数:15 大小:193.14KB
下载 相关 举报
毕业设计论文vhdl硬件描述语言报告基于vhdl的乒乓球游戏机的设计.docx_第1页
第1页 / 共15页
毕业设计论文vhdl硬件描述语言报告基于vhdl的乒乓球游戏机的设计.docx_第2页
第2页 / 共15页
毕业设计论文vhdl硬件描述语言报告基于vhdl的乒乓球游戏机的设计.docx_第3页
第3页 / 共15页
毕业设计论文vhdl硬件描述语言报告基于vhdl的乒乓球游戏机的设计.docx_第4页
第4页 / 共15页
毕业设计论文vhdl硬件描述语言报告基于vhdl的乒乓球游戏机的设计.docx_第5页
第5页 / 共15页
点击查看更多>>
下载资源
资源描述

毕业设计论文vhdl硬件描述语言报告基于vhdl的乒乓球游戏机的设计.docx

《毕业设计论文vhdl硬件描述语言报告基于vhdl的乒乓球游戏机的设计.docx》由会员分享,可在线阅读,更多相关《毕业设计论文vhdl硬件描述语言报告基于vhdl的乒乓球游戏机的设计.docx(15页珍藏版)》请在冰豆网上搜索。

毕业设计论文vhdl硬件描述语言报告基于vhdl的乒乓球游戏机的设计.docx

毕业设计论文vhdl硬件描述语言报告基于vhdl的乒乓球游戏机的设计

各专业全套优秀毕业设计图纸

湖南科技大学

 

《VHDL硬件描述语言课程设计报告》

 

题目:

乒乓球游戏机设计

专业:

通信工程

班级:

姓名:

学号:

指导教师:

2015年01月4日

信息与电气工程学院

课程设计任务书

2014—2015学年第一学期

专业:

学号:

姓名:

课程设计名称:

设计题目:

完成期限:

自年月日至年月日共周

设计依据、要求及主要内容(可另加附页):

 

指导教师(签字):

批准日期:

年月日

 

目录

摘要2

Abstract2

第一章课程设计的目的3

第二章课程设计的内容3

2.1课程设计的简要说明3

2.2课程设计的任务和要求3

第三章电路工作原理4

第四章主要程序及仿真结果5

4.1主要程序5

4.2编译和波形仿真9

第五章对本次设计的体会和建议12

参考文献:

13

摘要

用VHDL编程模拟乒乓球比赛,电路模块由状态机、记分器、译码显示器等部分组成,对各部分编写VHDL算法,进行编译、仿真、逻辑综合、逻辑适配及程序下栽.通过GW48型EDA实验箱的验证,乒乓游戏机能模拟乒乓球比赛的基本过程和规则,并能自动裁判和记分。

VHDL是高速集成电路硬件描述语言,目前已成为许多设计自动化工具普遍采用的标准化硬件描述语言.VHDL语言功能性强、覆盖面广、灵活性高,具有很好的实用。

本文设计一个基于VHDL的乒乓游戏机模拟乒乓球比赛。

设计的难点是状态机。

并对其进行仿真,给出了仿真波形,完整程序可写入芯片,配合外围元器件,实现乒乓游戏机的功能。

关键词:

VHDL;GW48;乒乓游戏机

Abstract

TabletennissimulationgameusingVHDLprogramming,circuitmoduleiscomposedofstatemachine,marker,decodingdisplayparts,eachpartofthepreparationoftheVHDLalgorithm,compile,simulation,logicsynthesis,logicadapterandprogramplanted.ThroughexperimentalverificationboxtypeGW48EDA,tabletennistourbasicprocessandrulesofplayoffunctionsimulationtabletenniscompetition,andcanautomaticallyjudgeandscore.VHDLisahighspeedintegratedcircuithardwaredescriptionlanguage,hasnowbecomethestandardiswidelyusedinmanydesignautomationtools,hardwaredescriptionlanguage.VHDLlanguagefunctionisstrong,widecoverage,highflexibility,practicabilityisverygood.AtabletennismatchsimulationtabletennisgamemachinebasedonVHDLisdesignedinthispaper.Designisthedifficultyofstatemachine.Andthesimulation,thesimulationwaveform,acompleteprogramcanbewrittenwithchip,peripheralcomponents,toachievethefunctionoftabletennisgamemachine.

Keywords:

VHDL;GW48;tabletennisgamemachine

第一章课程设计的目的

1、熟练掌握了相关软件MaxPlus2的使用操作。

能对VHDL语言程序进行编译及调试,以及通过计算机仿真,得到正确的仿真波形图,并根据所得仿真波形图分析判断并改进所设计的电路。

2、在成功掌握软件操作基础上,将所学数字电路的基础课知识与VHDL语言的应用型知识结合起来并与实际设计,操作联系起来,即“理论联系实际”的观点。

3、完成可编程器件与VHDL语言课程设计,掌握设计语言技术的综合应用性。

本次设计课题为多路彩灯控制器。

4、熟悉系统的分析和设计方法,合理掌握选用集成电路的方法,初步接触EDA技术,为以后本专业的学习奠定良好的基础。

第二章课程设计的内容

2.1课程设计的简要说明

两人乒乓球游戏机是用9个发光二级管代表乒乓球台,中间一个发光二极管兼做乒乓球网,用点亮的发光二极管按一定方向移动来表示球的运动。

在游戏机的两侧各设置发球和击球开关,(一个是发球开关StartA,StartB;另一个是击球开关HitA,HitB。

)甲乙双方按乒乓球比赛规则来操作开关。

当甲方按动发球开关时,靠近甲方的第一个发光二极管亮,然后发光二极管由甲方向乙方依次点亮,代表乒乓球的移动。

当球过网后按照设计者规定的球位乙方就可以击球。

若乙方提前击球或者未击到球,则甲方得分,甲方的记分牌自动加分。

然后重新发球进行比赛,知道一方记分达到21分为止,记分清零,重新开始新一局比赛。

2.2课程设计的任务和要求

设计一个乒乓球游戏机,该机模拟乒乓球比赛的基本过程和规则,并能自动裁判和计分。

要求如下:

1.使用乒乓游戏机的甲、乙双方各在不同的位置发球或击球。

2.乒乓球的位置和移动方向由灯亮及依次点亮的方向决定。

球移动的速度为0.1~0.5s移动一位(读者可以根据自己的情况进行设定)。

球过网,接球方就可以接球,提前接球或者没击着球均判失分。

3.比赛按21分为一局,甲、乙双方都应设置各自的记分牌,任何一方先记满21分,该方就算胜出。

按Reset键将记分牌清0后,即可开始新的一局比赛。

2.3课程设计的训练目标

学会用VHDL设计简单的状态机,掌握用状态机控制其他模块并处理其反馈信息的经典用法。

第三章电路工作原理

 

ScoreA

ScoreB

IncreaseA

IncreaseB

Clear

 

Light[0..8]

 

 

按键

系统的逻辑划分图

设计该乒乓球游戏机的输入/输出端口。

首先考虑输入端口,一般都应该设置一个异步置位端口Reset,用于在系统不正常时回到初始状态;两个发球输入端StartA,StartB,逻辑‘1’分别表示甲方和乙方的发球;两个击球输入端Hit1和Hit2,逻辑‘1’分别表示甲击球和乙击球;一个开始游戏按钮startbutton,处于逻辑‘1’表示可以游戏;还得有一个时钟输入端口clk。

其次考虑输出端口,芯片应该有9个输出端口来控制9个发光二极管,输出逻辑‘1’即输出一个高电平,可以使发光二极管点亮;另外,要直观地表示双方的得分,就得用到七段译码器,每方用到2个,可以表示0~21的数字,每个七段译码器需要芯片的7个输出端口来控制,总共需要28个输出端口。

第四章主要程序及仿真结果

4.1主要程序

4.1.1主程序状态机模块

这个模块式主程序模块,也是最重要的模块。

采用状态机单进程的方法,一共设置了7个状态,分别是“等待发球状态”(waitserve),“第一盏灯亮状态”(light1on),“第六盏灯亮状态”(light6on),“球向乙移动状态”(ballmove2),“球向甲移动状态”(ballmoveto1),“允许甲击球状态”(allow1hit),“允许乙击球状态”(allow2hit)。

具体实现代码如下:

process(clk1,reset)--clk作为敏感信号触发进程

begin--进程开始

ifreset='0'then--异步置位

i<=0;

count1<="00000";

count2<="00000";

elsif(rising_edge(clk1))then--当处于时钟inclock上升沿时

ifcount1="10101"orcount2="10101"then

i<=0;

count1<="00000";--count1和count2分别为甲、乙的得分

count2<="00000";

else--以下case语句是程序中最关键的状态机部分

casestateis

whenwaitserve=>--进程处于等待发球状态

ifserve='0'then

i<=1;

state<=light1on;

elsei<=6;

state<=light6on;

endif;

whenlight1on=>--进程处于第一盏灯亮状态

i<=2;

ifhit2_en='0'then

i<=0;

count1<=count1+1;--甲得一分

state<=waitserve;

else

state<=ballmoveto2;

endif;

whenlight6on=>--进程处于第八盏灯亮状态

i<=5;

ifhit1_en='0'then

i<=0;

count2<=count2+1;--乙得一分

state<=waitserve;

else

state<=ballmoveto1;

endif;

whenballmoveto1=>--进程处于球向乙移动状态

ifhit1_en='0'then

i<=0;

count2<=count2+1;

state<=waitserve;

elsifi=2theni<=1;

state<=allow1hit;

elsei<=i-1;

state<=ballmoveto1;

endif;

whenballmoveto2=>--进程处于球向乙移动状态

ifhit2_en='0'then

i<=0;

count1<=count1+1;

state<=waitserve;

elsifi=5theni<=6;

state<=allow2hit;

elsei<=i+1;

state<=ballmoveto2;

endif;

whenallow1hit=>--进程处于允许甲击球状态

ifhit1_en='0'theni<=2;

state<=ballmoveto2;

elsecount2<=count2+1;

i<=0;

state<=waitserve;

endif;

whenallow2hit=>--进程处于允许乙击球状态

ifhit2_en='0'then

i<=5;

state<=ballmoveto1;

else

count1<=count1+1;

i<=0;

state<=waitserve;

endif;

endcase;

endif;

endif;

endprocess;

主程序模块包含了计数功能,用count1和count2分别代表甲、乙的分数。

用了这两个计数,接下来就可以用来译码了。

4.1.2数码管译码模块

这次采用的是共阳数码管,就是把主程序模块里面的计数count1和count2译成7段译码。

具体代码如下:

process(count1)-----显示甲得分数

begin

casecount1is

when"00000"=>score11<="00000011";score12<="00000011";----数码管显示0

when"00001"=>score11<="00000011";score12<="10011111";----数码管显示1

when"00010"=>score11<="00000011";score12<="00100101";----数码管显示2

when"00011"=>score11<="00000011";score12<="00001101";----数码管显示3

when"00100"=>score11<="00000011";score12<="10011001";----数码管显示4

when"00101"=>score11<="00000011";score12<="01001001";----数码管显示5

when"00110"=>score11<="00000011";score12<="01000001";----数码管显示6

when"00111"=>score11<="00000011";score12<="00011111";----数码管显示7

when"01000"=>score11<="00000011";score12<="00000001";----数码管显示8

when"01001"=>score11<="00000011";score12<="00001001";----数码管显示9

when"01010"=>score11<="10011111";score12<="00000011";----数码管显示10

when"01011"=>score11<="10011111";score12<="10011111";----数码管显示11

whenothers=>score11<="00000011";score12<="00000011";----数码管显示0

endcase;

endprocess;

process(count2)-----显示乙得分数

begin

casecount2is

when"00000"=>score21<="00000011";score22<="00000011";

when"00001"=>score21<="00000011";score22<="10011111";

when"00010"=>score21<="00000011";score22<="00100101";

when"00011"=>score21<="00000011";score22<="00001101";

when"00100"=>score21<="00000011";score22<="10011001";

when"00101"=>score21<="00000011";score22<="01001001";

when"00110"=>score21<="00000011";score22<="01000001";

when"00111"=>score21<="00000011";score22<="00011111";

when"01000"=>score21<="00000011";score22<="00000001";

when"01001"=>score21<="00000011";score22<="00001001";

when"01010"=>score21<="10011111";score22<="00000011";----数码管显示10

when"01011"=>score21<="10011111";score22<="10011111";----数码管显示11

whenothers=>score21<="00000011";score22<="00000011";

endcase;

endprocess;

其中score11和score12代表count1的十位数和个位数,score21和score22代表count2的十位数和个位数.

4.1.3按键消抖模块

这个模块主要是当我们按下hit1、hit2、reset、serve四个键时要进行消抖,否则我们的开发板将感应不到。

主要采用的是计数法消抖,我们让按键持续时间大于N个clk时钟周期时,计数器输出一个单脉冲,否则没有脉冲输出。

如果按键开关抖动产生的毛刺宽度小于N个时钟周期,因而毛刺作用不可能使计数器有输出,防抖动目的得以实现。

具体代码如下:

process(clk)

begin

ifrising_edge(clk)then

hit1_en1<=hit1;

endif;

endprocess;

process(clk)

begin

ifrising_edge(clk)then

hit1_en2<=hit1_en1;

endif;

endprocess;

hit1_en3<=hit1_en1andnothit1_en2;

process(clk)

begin

ifrising_edge(clk)then

ifhit1_en3='0'then

num<=num+1;

elsenum<=0;

endif;

endif;

endprocess;

process(clk)

begin

ifrising_edge(clk)then

ifnum=5000000then

hit1_en<=hit1_en1;

elsenull;

endif;

endif;

endprocess;

4.2编译和波形仿真

图4-1所示代表乙发球,由light端口输出的高电平会驱动芯片以外的发光二极管使之点亮,这样就可以通过发光二极管模拟乒乓球的运动轨迹。

可以看到,在甲该击球的时候没有击球,也就是hit1在state状态6(allow1hit,允许甲击球状态)的时候没有高电平‘1’输入,则算乙得分,count2由0变到1,score22的值随之变化,“30”代表了二进制的“0110000”,就是七段译码器显示‘1’,之后state回到状态0(waitserve,等待发球状态)。

从最后一行state值的变化,可以清楚地分析状态转移。

图4-1

i从8开始依次递减计数,控制发光二极管亮暗的light信号也随着i的数值而变化,如图4-2所示:

图4-2

图4-3是在乙发球以后,甲子正确时刻击球的波形仿真图。

甲在state为状态6(allow1hit,允许甲击球状态)的时候击球了,在图上hit1在此时刻出现高电平,看到state转移了状态2(ballmoveto2,球向乙移动状态)当到了状态3(allow2hit,允许乙击球状态)乙没有击球,所以甲得分了,count1由0变到1,而七段译码器随之改变。

图4-3

图4-4为上图的球的移动轨迹:

图4-4

图4-5是仿真甲提早击球的情况,在不允许甲击球的状态下,即state处于状态5(ballmoveto1,球向甲移动状态)时,hit1输入逻辑‘1’,表示甲击球了,所以乙得分。

图4-5

图4-6为上图的球的移动轨迹:

图4-6

 

第五章对本次设计的体会和建议

在这个设计中,初步体现了状态机的中心控制作用。

通过状态机进程传出的信号,驱动了发光二极管以及七段译码器等外围设备。

状态机进程传出的i信号,控制了发光二极管的状态,状态机进程传出的count1和count2信号,控制了七段译码器的显示。

如果要用实际电路来实现乒乓球游戏机,就还要将设计下载到芯片中去,并且加上外围电路,这些外围电路包括七段译码器,按键,即便如此,上面的设计还是不够的,还存在两个问题,一个是时钟频率问题,一个是按键问题,但由于本人时间及能力有限,就没多加研究,深感遗憾。

本次设计是在指导老师黄敏的指导下完成的。

在设计的过程中,黄老师给予了指导,并提供了很多与该设计相关的重要信息,培养了我们对课程设计的兴趣,丰富了我们的知识。

这都将非常有利于我们今后的学习和工作。

在此表示衷心的感谢!

在这里还要感谢一位老师,很抱歉我不知道他的名字,他是带我们进入VHDL世界的人,仅仅两次课让我们了解VHDL的世界,这是我这次课程设计能成功的最主要原因,在这深深表示感谢。

另外,在课程设计过程中,我更深刻的了解到计算机是一门很精深的课程,同时也是一个硬件与软件相通的课程,要想很好的学好它,还必须投入更多时间与热情。

也了解到很多内容不是光看可不就能学到的,必须高强度的提高动手能力,多去尝试。

这些都对我以后的学习有很大的帮助。

还有,班上同学同样给与我不少帮助,这里一并表示感谢。

 

参考文献:

[1]曹昕燕周凤臣聂春燕编著.《EDA技术试验与课程设计》.[M].北京:

清华大学出版社,2006.5

[2]徐志军王金明尹廷辉徐光辉苏勇编著.《EDA技术与VHDL设计》.[M].北京:

电子工业出版社,2009.1

[3]刘欲晓方强黄宛宁等编著.《EDA技术与VHDL电路开发应用实践》.[M].北京:

电子工业出版社,2009.4

[4]朱正伟主编.《EDA技术及应用》[M].北京:

清华大学出版社,2005.10

[5]潘松黄继业编著.《EDA技术与VHDL(第2版)》[M].北京:

清华大学出版社,2007.1

[6]赵明富刘文进主编.《EDA技术基础》[M].北京:

北京大学出版社,2007.6

[7]柳春锋主编.《电子设计自动化(EDA)教程》[M].北京:

北京理工大学出版社,2005.8

[8]潘松黄继业编著.《EDA技术实用教程》[M].北京:

科学出版社,2005

[9]赵明富主编.《EDA技术与实践》[M].北京:

清华大学出版社,2005.11

[10]邹彦等编著.《EDA技术与数字系统设计》[M].北京:

电子工业出版社,2007.4

 

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 艺术

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1