数字频率计的设计.docx

上传人:b****4 文档编号:4896574 上传时间:2022-12-11 格式:DOCX 页数:27 大小:277.83KB
下载 相关 举报
数字频率计的设计.docx_第1页
第1页 / 共27页
数字频率计的设计.docx_第2页
第2页 / 共27页
数字频率计的设计.docx_第3页
第3页 / 共27页
数字频率计的设计.docx_第4页
第4页 / 共27页
数字频率计的设计.docx_第5页
第5页 / 共27页
点击查看更多>>
下载资源
资源描述

数字频率计的设计.docx

《数字频率计的设计.docx》由会员分享,可在线阅读,更多相关《数字频率计的设计.docx(27页珍藏版)》请在冰豆网上搜索。

数字频率计的设计.docx

数字频率计的设计

 

XXXXX大学

毕业论文(设计)

 

题目:

数字频率计的设计

 

学生姓名

学号

 

专业

电子信息工程

班级

2008级1班

指导教师

学部

计算机科学与电气工程

答辩日期

2012年5月19日

 

黑龙江东方学院本科生毕业论文(设计)任务书

姓名

王丽丽

学号

084121120

专业班级

电子信息工程08级1班

毕业论文(设计)题目:

数字频率计的设计

毕业论文(设计)的立题依据

数字频率计在电子系统的应用领域内是一种应用很广泛的仪器,到处可见到。

电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都

有十分密切的关系,因此频率的测量就显得更为重要。

 

主要内容及要求

本文提出了数字频率计设计的多种方案,重点介绍了用Multisim10.1为核心,实现频率测量的数字频率计设计。

文章对频率测量过程中数据误差的来源进行了探讨,提出了减小误差的措施。

最后,文章还对频率计的设计方案提出了可扩展的地方,本设计主要用硬件电路实现。

进度安排

12月12日

选题

12月13日~12月29日

接受指导老师的指导

12月30日~1月17日

拟定论文大纲

1月18日~2月26日

搜集、查阅、整理相关资料

2月27日~3月27日

初稿形成

3月28日~4月8日

初稿审定

4月9日~4月17日

第一次修改

4月18日~4月22日

第一次审定

4月23日~5月3日

第二次修改

5月4日~5月9日

定稿

5月10日~5月18日

论文评阅小组评审论文(设计)

5月19日

毕业论文(设计)答辩

学生签字:

指导教师签字:

年月日

数字频率计的设计

摘  要

本文提出了数字频率计设计的多种方案,重点介绍了用Multisim10.1为核心,实现频率测量的数字频率计设计。

测频的基本原理是采用在高频段直接测频法,在低频段测周期法的设计思路;硬件部分由放大电路、整形电路、闸门时基控制电路、逻辑控制电路、555定时器构成的多谐振荡器、计数器、锁存器、共阴极的显示器组成、设计的频率计测量范围能够达到

,满足所要求的频率范围,测量精度较高,平均相对误差较小。

另外,文章对频率测量过程中数据误差的来源进行了探讨,提出了减小误差的措施。

最后,文章还对频率计的设计方案提出了可扩展的地方。

本设计主要用硬件电路实现。

关键词:

数字频率计;频率测量;Multisim10.1

[关键词与摘要内容隔行书写,词条用小四号宋体字,词条间用分号(;)隔开,3-5个关键词]

TheDesignofDigitalFrequencyMeter

Abstract

Thisarticleproposesmanykindsofplansdesigndigitalfrequencymeter.FocusesonthecorewithMultisim10.1torealizethedigitalfrequencymetermeasuringfrequencydesign.

Thebasicprincipleoffrequencymeasurementisusedinhighfrequencydirectfrequencymeasurementmethod,measuredinthelowfrequencycycleofmethoddesignideas;hardwarefromtheamplifier,shapingcircuit,thegatetimebasecontrolcircuit,thelogiccontrolcircuit,consistingof555timerstothankOscillator,counter,latch,composedofcommoncathodedisplay,designedformeasuringthefrequencyrangetoachievethe

~

tomeettherequiredfrequencyrange,highaccuracy,theaveragerelativeerrorissmall.Inaddition,thearticledatainthefrequencymeasurementerrorsourceswerediscussed,proposedmeasurestoreducetheerror.Finally,thearticlealsomade​​thedesignoffrequencymeterthatcanbeextendedplace.Themainuseofhardwarecircuitdesign.

Keywords:

Digitalfrequencymeter;Frequencymeasurements;Multisim10.1.

 

小提示:

当需要从网站或者文档复制到本文档时,先将文字复制到文本文档,然后再从文本文档复制到本文档的相应位置,这样就能够保证格式是正确的!

此行不会被打印

 

千万不要删除行尾的分节符,此行不会被打印。

(在word菜单-工具-选项-视图标签中,格式标记部分请全部打对号,这样就可以看到隐藏的分节符和空格等信息了)

目  录

千万不要删除行尾的分节符,此行不会被打印。

在目录上点右键“更新域”,然后“更新整个目录”

数字频率计的设计

第1章绪论

1.1概述

在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此,频率的测量就显得更为重要。

测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。

电子计数器测频有两种方式:

一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法,如周期测频法。

直接测频法适用于高频信号的频率测量,间接测频法适用于低频信号的频率测量。

本次设计的数字频率计以AT89C52为核心,在软件编程中采用的是C51语言,测量采用了多周期同步测量法,它避免了直接测量法对精度的不足,同时消除了直接与间接相结合方法,需对被测信号的频率与中介频率的关系进行判断带来的不便,能实现较高的等精度频率和周期的测量。

1.1.1数字频率计的发展趋势之一

从以前的模拟器件设计数字频率计逐步转变为数字芯片设计数字频率计。

这样的转变使得频率计的设计更趋于自动化、智能化。

现在的电子产品主要是采用EDA技术和单片机技术作为核心控制系统,辅以外围电路,制成高端数字化产品。

频率计正是朝着这个方向发展[1]。

EDA技术是以计算机为工具,在EDA软件平台上,根据硬件描述语言VHDL完成设计文件,自动地完成逻辑编译、化简、分割、综合及优化布局线、仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

FPGA/CPLD是高密度现场可编程逻辑芯片,能够将大量的逻辑功能集成于一个单个器件中,它提供的门数从几百门到上百万门,可以满足不同的需要。

数字频率计借助于EDA工具FPGA/CPLD进行开发有很大的优越性:

(1)编程方式简便、先进。

(2)高速。

(3)高可靠性。

(4)开发工具和设计语言标准化,开发周期短。

(5)功能强大,应用广阔。

这样的优点使得数字频率计的设计变得简单。

但同时,采用EDA技术开发频率计存在一个缺点:

对电路进行逻辑综合优化时,最终设计和原始设计在逻辑实现和时延方面有一定的误差,这样使得频率计的测量精度受到很大影响。

因此EDA技术还需要不断地改进,以解决在测量时存在的问题,适应电子产品测量的要求。

但肯定的是,用EDA技术进行电子产品的设计、开发是有很大发展前景的[1]。

单片机技术设计数字频率计是现阶段电子产品开发时采用的主要技术,它在今后的一段时间内仍然占据着主导地位。

单片机是单片微型计算机的简称,将把微型计算机的三大组成部分(CPU+存储器+I/O接口)和一些实时控制所需要的功能器件集成在该芯片上,来实现计算机的部分功能。

在实际应用中大都嵌入到控制系统中,所以单片机系统也叫嵌入式系统。

现在国内单片机应用中最常见的有Intel公司的MCS系列,Microchip公司的PIC16系列,台湾凌阳公司的SPCE061X系列。

单片机设计数字频率计有着很多的优点:

(1)集成度高。

(2)系统结构简单,性价比高。

(3)系统扩展方便。

(4)抗干扰性能强,可靠性高。

(5)处理能力强,速度快。

(6)开发方便。

(7)兼容性好。

另外,单片机内部强大的运算能力和控制功能,使得开发像频率计这样对测量精度要求很高的电子仪器时,变得更加的有效。

内部丰富的存储资源,能够满足频率计设计的各种不同需要。

可以说,单片机的进步指导着频率计的发展方向。

1.1.2数字频率计的发展趋势之二

在功能上从以前的仅实现单一频率测量扩展到还能测量周期、占空比、脉宽等各种参数指标。

数字技术的不断成熟,使得在一块很小的板子上制作大规模、多功能的电子产品变得非常的容易、方便。

当然,功能的实现是以强大的软件技术做后盾的。

以后的频率计等测量仪器将在编程语言的不断优化下,数字技术的不断完善下实现更多的功能[2]。

1.1.2.1数字频率计的发展趋势之三

频率计虚拟化。

随着计算机的普及,利用计算机做显示和操作平台的虚拟仪表,也越来越被广泛运用。

目前主流的开发平台是NI公司的LABVIEW。

电子测量技术的发展,单片机技术和大规模可编程数字逻辑电路的普及,为频率测量的结构简单化提供了技术基础,使得频率测量正朝着高灵敏度、高可靠性、全集成化和智能化方向发展。

智能化芯片的运用,使得同一硬件具有多种不同的功能,为多样化、系列化带来了便利。

以后数字化智能频率计将在满足测量要求和实现功能的同时,也为操作频率计的人,带来使用上的方便和舒适。

总之,数字频率计正向着更高的精确度,更低的测量误差,更多的功能实现,更少的价格,更人性化,更智能方向发展。

1.2数字频率计的设计过程

数字频率计设计的过程首先要提出设计的要求、标准及实现的内容。

通过数字频率计的设计方法及其种类提出本设计的具体方案,并介绍本设计方案的原理。

根据设计方案选择应用的软件。

根据原理设计具体的电路图,该电路应满足设计的要求。

然后对电路图进行分析、对参数进行调试以满足设计的理论要求。

接下来对整体的电路图进行仿真,如果仿真波形与理论有差别,应该继续调试个器件的参数,以满足设计的理论要求。

最后对设计进行误差的分析[3]。

1.3本章小结

在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。

测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。

第2章数字频率计的设计方法、种类、技能指标及应用软件

2.1数字频率计测量频率的方法

直读法又称无源网络频率特性测量法;比较法是将被测频率信号与已知频率信号相比较,通过观、听比较结果,获得被测信号的频率;电容充放电式计数法是利用电子电路控制电容器充放电的次数,再用电磁式仪表测量充放电电流的大小,从而测出被测信号的频率值;电子计数法是根据频率定义进行测量的一种方法,利用电子计数器显示的单位时间内通过被测信号的周期个数来实现频率的测量[4]。

由于电子计数式测量频率具有精度高、测量范围宽、显示醒目直观、测量迅速以及便于实现测量过程自动化等一系列优点而被广泛使用。

电子计数式测量频率有以下几种方法:

(1)脉冲数定时测频法(M法):

记录在确定时间里待测信号的脉冲个数。

其特点是:

测量方法简单;测量精度与待测信号频率和门控时间有关,当待测信号频率较低时,误差较大。

(2)脉冲周期测频法(T法):

在待测信号的一个周期里记录标准频率信号变化次数。

此法低频检测时精度高,但高频检测时误差较大。

(3)脉冲数倍频测频法(AM法):

此法是为克服M法在低频测量时精度不高的缺陷发展起来的。

通过A倍频,把待测信号频率放大A倍,以提高测量精度。

其特点是待测信号脉冲间隔减小,间隔误差降低;低频测量精度比M法高A倍,但控制电路较复杂。

(4)脉冲数分频测频法(AT法):

此法是为了提高T法高频测量时的精度而发展起来的。

由于T法测量时要求待测信号的周期不能太短,所以可通过A分频使待测信号的周期扩大A倍。

其特点是高频测量精度比T法高A倍;但控制电路也较复杂。

(5)脉冲平均周期测频法(M/T法):

此法是在闸门时间内,同时用两个计数器分别记录待测信号的脉冲数M和标准信号的脉冲数。

其特点是在测高频时精度较高;但在测低频时精度较低。

(6)多周期同步测频法:

是由闸门时间与同步门控时间共同控制计数器计数的一种测量方法,待测信号频率与M/T法相同。

此法的优点是:

闸门时间与被测信号同步,消除了对被测信号计数产生的±1个字误差,测量精度大大提高,且测量精度与待测信号的频率无关,实现了在整个测量频段等精度测量[5]。

2.2数字频率计的种类

(1)、采用中小规模数字集成电路,用机械式功能转换开关换挡,完成测量频率、周期以及脉宽等功能的计数器。

此种数字频率计是较早时期的电子产品,到现在中小规模集成电路应用技术不断完善时,它的应用也不断得到加强。

但很明显,此种数字频率计已处于淘汰阶段,由于其自身不具备智能化、自动化,完全借助于机械示的操作,对一些智能的频率计功能已无法完成,所以,现在使用这种数字频率计的已经很少[6]。

(2)、采用现场可编程门阵列(CPLD/FPGA)作为系统控制核心制成的数字频率计。

它通过EDA技术和硬件描述语言(VHDL)对进行数字频率计的设计。

这种技术是在近几年才发展起来的新技术,具有很大的发展空间和应用价值。

(3)、采用单片机为系统控制核心的数字频率计。

这种数字频率计具有非常明显的优势:

体积小,所用芯片少,精度高,测量范围广,易于扩展功能,智能化、自动化强度高,便于控制。

因此采用单片机技术设计数字器件已逐渐成为主流[7]。

2.3数字频率计的需求分析

数字频率计是一种应用很广泛的仪器电子系统非常广泛的应用领域内,到处可见到处理离散信息的数字电路。

数字电路制造工业的进步,使得系统设计人员能在更小的空间内实现更多的功能,从而提高系统可靠性和速度。

集成电路的类型很多,从大的方面可以分为模拟电路和数字集成电路2大类。

数字集成电路广泛用于计算机、控制与测量系统,以及其它电子设备中。

一般说来,数字系统中运行的电信号,其大小往往并不改变,但在实践分布上却有着严格的要求,这是数字电路的一个特点。

数字集成电路作为电子技术最重要的基础产品之一,已广泛地深入到各个应用领域

2.3.1问题基本描述

所谓频率,就是周期信号在单位时间(1秒)内变化的次数。

频率计的测量范围为1MHZ,为了测量精确量程分别为10KHZ,100KHZ,1000KHZ和1MHZ四个档。

即最大读数分别为9.999KHZ,99.99KHZ,999.9KHZ,999MHZ。

要求两成自动换挡。

其具体功能如下:

(1)当量程超过999时,自动增大一档,下一次测量时量程大一档;

(2)当超过测量范围时,显示溢出,报警器报警。

(3)小数点位置随量程变化自动移位。

(4)采用记忆显示方法,在测量过程中不显示数据,在测量完成以后显示测频结果,并将此结果保存到下次测量结束,显示时间不少于1秒。

(5)根据频率计的测频原理,可以选择合适的时基信号即阀门时间,对输入信号脉冲进行计数,实现测频的目的。

(6)根据数字频率计的设计原理,可以将数字频率计设计分为五个模块来实现其功能。

即分频模块,控制模块,计数模块,锁存模块和显示模块。

用CLDH语言进行编程。

(7)弄清什么情况下是测频率,就是选择合适的时基信号的问题。

测频率时以输入信号作为时钟信号,因为输入信号的频率大于频率计的基准频率。

图2-1数字频率计的系统流程图

2.3.2系统模块分解及各模块功能的基本要求

计数器在各个档是被反复应用的,如果在各个档分别设计计数器就造成资源的浪费,而且在测周期和频率的时候,计数器的时基信号和输入信号要进行调换,但计数功能是一样的,所以将计数器设为单独的模块。

七段译码器也是被重复使用的,也设成单独的模块,这样的话就不用在重复使用的时候重复书写译码电路了。

总体来说数字频率计分为五个模块来设计,即分频模块计数

模块,锁存模块,预测控制信号发生器,显示模块。

分频模块为计数模块提供1秒的阀门时间。

计数模块是整个程序的核心,它完成在1秒的时间里对被测信号计数的功能,并通过选择输出数据实现自动换挡的功能。

锁存模块在信号L的下降沿到来时将信号A4,A3,A2,A1锁存。

显示模块对应于数码管片选信号,将相应的数据送出,其中档位也通过数码管显示。

2.4数字频率计的主要性能指标

2.4.1频率准确度

一般用相对误差来表示,即

(2-1)

式(2-1)中,

为量化误差(即±1个字误差),是数字仪器特有的误差。

当闸门T选定后,fx越低量化误差越大;

为闸门时间的相对误差,主要由时基电路标准频率的准确度决定,

[8]。

2.4.2频率测试范围

在输入电压符合频率规定要求值时,能正常进行测量的频率区间称为频率测量范围。

频率测量范围主要由放大整形电路的频率响应决定。

2.4.3数字显示位数

频率计的数字显示位数决定频率计的分辨率。

位数越多,分辨率越高。

2.4.4测量时间

频率计完成一个测量所需要的时间,包括准备、计数、锁存和复位时间[9]。

2.5Multisim10.0计算机仿真软件的介绍

Multisim10.0是美国NI公司推出的EDA(Elec2tronicDesignAutomatic,电子设计自动化技术)软件的一种,包括有电子电路仿真设计的模块Multisize、设计软件PCBAntibillboard、布线引擎Roulette及通信电路分析与设计模块Commsim4个部分,这4个部分相互独立,可以分别使用。

并且在Multisim10.0中,引入了NI公司独创的、先进的LABVIEW(labora2Toryvirtualinstrumentengineeringworkbench)技术,该技术是一种图形化的编程语言和开发环境。

电子产品设计人员利用这个软件可对所设计的电路进行仿真和调试,一方面可以验证所设计的电路是否能达到设计要求和技术指标;另一方面,又可以通过改变电路的结构、元器件参数,使整个电路的性能达到最佳。

根据仿真电路的结果,将实际电路制作出来。

这样不仅降低了电路的设计成本,同时也缩短了产品的研发周期。

这是一种目前世界上越来越被广大工程应用的软件。

Multisim10.0作为国际上流行的电子电路辅助设计和分析软件,其强大的虚拟原器库和软件仿真功能,为电路设计提供了先进、高效的设计平台技术人员所熟悉和掌握的先进的设计理念和技术[10]。

2.6本章小结

本文着重分析了数字频率计的测量频率的方法、数字频率计的种类,数字频率计的需求分析,以及数字频率的数字显示位数和测量时间,并介绍了Multisim10.0计算机仿真软件。

用Multisim10.0软件设计。

特点:

一方面可以验证所设计的电路是否能达到设计要求和技术指标;另一方面,又可以通过改变电路的结构、元器件参数,使整个电路的性能达到最佳。

根据仿真电路的结果,将实际电路制作出来。

这样不仅降低了电路的设计成本,同时也缩短了产品的研发周期。

这是一种目前世界上越来越被广大工程应用的软件。

注意:

除第一章绪论外,其他每一章都应该有一个本章小结

第3章数字频率计频率测量原理

3.1数字频率计的基本原理

由于频率计测周期的原理与频率计直接测频率的原理正好相反,所以本设计只对频率计直接测频法的硬件电路图进行研究以实现数字频率计的直接测量频率,但对频率计的测周期法的原理有一定的介绍[11]。

频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。

通常情况下计算每秒内待测信号的脉冲个数,此时我们称闸门时间为1秒。

闸门时间也可以大于或小于一秒。

闸门时间越长,得到的频率值就越准确,但闸门时间越长则没测一次频率的间隔就越长。

闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。

数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波,方波或其它周期性变化的信号。

如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率,转速,声音的频率以及产品的计件等等。

因此,数字频率计是一种应用很广泛的仪器电子系统非常广泛的应用领域内,到处可见到处理离散信息的数字电路[12]。

数字电路制造工业的进步,使得系统设计人员能在更小的空间内实现更多的功能,从而提高系统可靠性和速度。

集成电路的类型很多,从大的方面可以分为模拟电路和数字集成电路2大类。

数字集成电路广泛用于计算机、控制与测量系统,以及其它电子设备中。

一般说来,数字系统中运行的电信号,其大小往往并不改变,但在实践分布上却有着严格的要求,这是数字电路的一个特点。

数字集成电路作为电子技术最重要的基础产品之一,已广泛地深入到各个应用领域.VHDL(VeryHighSpeedIntegratedCircuitHardwareDescriptionLanguage,超高速集成电路硬件描述语言)诞生于1982年,是由美国国防部开发的一种快速设计电路的工具,目前已经成为IEEE(TheInstituteofElectricalandElectronicsEngineers)的一种工业标准硬件描述语言。

相比传统的电路系统的设计方法,VHDL具有多层次描述系统硬件功能的能力,支持自顶向下(ToptoDown)和基于库(LibraryBased)的设计的特点,因此设计者可以不必了解硬件结构。

从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路的设计。

数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。

随着复杂可编程逻辑器件的广泛应用,以EDA工具作为开发手段,运用VHDL语言,将使整个系统大大简化,提高整体的性能和可靠性。

3.2频率计实现

频率计的结构包括一个测频率控制信号发生器、一个计数器和一个锁存器。

(1)测频率控制信号发生器

设计频率极的关键是设计一个测频率控制信号发生器,产生测量频率的控制时序。

控制时钟信号clk取为1Hz,2分频后即可查声一个脉宽为1秒的时钟test-en,一此作为计数闸门信号。

当test-en为高电平时,允许计数;当test-en由高电平变为低电平(下降沿到来)时,应产生一个锁存信号,将计数值保存起来;锁存数据后,还要在下次test-en上升沿到之前产生零信号clear,将计数器清零,为下次计数作准备[13]。

(2)计数器

计数器以待测信号作为时钟,清零信号clear到来时,异步清零;test-en为高电平时开始计数。

计数是以十进制数显

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 求职职场 > 简历

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1