洗衣机控制器.docx

上传人:b****3 文档编号:4681569 上传时间:2022-12-07 格式:DOCX 页数:8 大小:125.67KB
下载 相关 举报
洗衣机控制器.docx_第1页
第1页 / 共8页
洗衣机控制器.docx_第2页
第2页 / 共8页
洗衣机控制器.docx_第3页
第3页 / 共8页
洗衣机控制器.docx_第4页
第4页 / 共8页
洗衣机控制器.docx_第5页
第5页 / 共8页
点击查看更多>>
下载资源
资源描述

洗衣机控制器.docx

《洗衣机控制器.docx》由会员分享,可在线阅读,更多相关《洗衣机控制器.docx(8页珍藏版)》请在冰豆网上搜索。

洗衣机控制器.docx

洗衣机控制器

 

课程EDA技术课程设计

题目洗衣机控制器

主要内容、基本要求、主要参考资料等

主要内容:

设计一个洗衣机控制器,要求洗衣机有正转、反转、暂停三种状态。

设定洗衣机的工作时间,要洗衣机在工作时间内完成:

定时启动正转20秒暂停10秒反转20秒暂停10秒定时未到回到“正转20秒暂停10秒……”,定时到则停止,同时发出提示音。

基本要求:

1、设计一个电子定时器,控制洗衣机作如下运转:

定时启动正转20秒暂停10秒反转20秒暂停10秒定时未到回到“正转20秒暂停10秒……”,定时到则停止;

2、若定时到,则停机发出音响信号;

3、用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始;

4、三只LED灯表示“正转”、“反转”、“暂停”三个状态。

主要参考资料:

[1]潘松著.EDA技术实用教程(第二版).北京:

科学出版社,2005.

[2]康华光主编.电子技术基础模拟部分.北京:

高教出版社,2006.

[3]阎石主编.数字电子技术基础.北京:

高教出版社,2003.

完成期限2011.3.11

指导教师

专业负责人

2011年3月7日

一、总体设计思想

1.基本原理

从课程设计要求来看,要求实现电机的正传、反转、暂停,需要用LED灯的状态来表示,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒。

洗衣机控制器的设计主要是定时器的设计。

由一片FPGA和外围电路构成了电器控制部分。

FPGA接收键盘的控制命令,控制洗衣机的进水、排水、水位和洗衣机的工作状态、并控制显示工作状态以及设定直流电机速度、正反转控制、制动控制、起停控制和运动状态控制。

对芯片的编程采用模块化的VHDL(硬件描述语言)进行设计,设计分为三层实现,顶层实现整个芯片的功能。

顶层和中间层多数是由VHDL的元件例化语句实现。

中间层由无刷直流电机控制、运行模式选择、洗涤模式选择、定时器、显示控制、键盘扫描、水位控制以及对直流电机控制板进行速度设定、正反转控制、启停控制等模块组成,它们分别调用底层模块。

从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。

当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。

 

2.设计框图

首先开始启动,按照指令要求先正转20秒,然后暂停10秒,接着反转20秒,暂停10秒,如果定时时间没有达到依次进行以上操作。

倘若定时时间达到就停止以上操作,停止运行。

 

定时时间未到

二、设计步骤和调试过程

1、总体设计电路

洗衣机控制器电路主要有五大部分组成,包括:

减法计数器、时序控制电路、预置时间和编码电路、数码管显示、译码器组成。

2、模块设计和相应模块程序

⑴数码管显示

实现数码管显示

Libraryiee;

Useieee.std_logic_1164.all;

Entityencodeis

Port(

Bcd:

instd_logic_vector(3downtoo);

A,b,c,d,e,f,g:

outstd_logic

);

Endencode;

Architecturertlofencodeis

Signaltemp:

std_logic_vector(6downto0);

Begin

Table

Bcd=>temp;

"0000"=>"1111110";

"0001"=>"0110000"

"0010"=>"1101101"

"0011"=>"1111001"

"0100"=>"0110011"

"0101"=>"1011011"

"0110"=>"1011111"

"0111"=>"1110000"

"1000"=>"1111111"

"1001"=>"1111011"

Endtable;

a<=temp(6);b<=temp(5);c<=temp(4);d<=temp(3);e<=temp

(2);f<=temp

(1);

g<=temp(0);

endrtl;

⑵时序电路

Libraryieee;

Useieee.std_logic_1164.all;

Useieee.std_logic_unsigned.all

Entityshixuis

Port(cp,en,rd:

instd_logic;

Q1,q2:

outstd_logic);

Endshixu;

Architecturertlofshixuis

Begin

Process(cp)

Variablewash_time:

integerrange0to19;

Variablewash_time:

integerrange0to9;

Variablestate:

std_logic;

Variablewash_time:

integer:

=21;

Variablewash_time:

integer:

=9;

Begin

If(en=’0’)wash_time:

=’19’;wait_time:

=’9’;state:

=’0’;

Endif;if(en=’0’)thenwash_time:

=21;Q1<=’0’;Q2<=’0’;

Elseif(cp’eventandcp=’1’)

Thenif(rd=’1’)thenif(wash_time>0)

Thenwash_time:

=20;state:

=notstate;

Endif;endif;endif;

If(wash_time=0)thenQ1<=’0’;Q2<=’0’;elseif(state=’0’)

ThenQ1<=’1’;Q2<=’0’;elseQ1<=’0’;Q2<=’1’;

Endif;endif;

ElseQ1<=’0’;Q2,=’0’;

Endif;

Endif;

Endif;

Endprocess;

Endrtl;

⑶预置时间和编码电路

Libraryieee;

Useieee.std_logic_1164.all;

Useieee.std_logic_unsigned;all;

Entitycounteris

Port(clk,start:

instd_logic;

k:

inSTD_LOGIC_VECTOR(7downto0);

time_remain:

BUFFERSTD_LOGIC_VECTOR(7DOWNTO0);

time_is_up:

outstd_logic);

endcounter;

architecturertlofcounteris

begin

process(clk)

variabletime_second:

integer:

=60;

variabletime_second:

integer:

=0;

beginif(clk’eventandclk=’1’)

thenif(time_second>0andstart=’1’)

thentime_second:

=time_second-1;

elsetime_second:

=59;endif;

if(start=’0’)

thentime_remain<=k;time_second:

=60;

time_second:

=0;else

if(time_second=0)

thenif(time_remain(3downto0)>0)

thentime_remain(3downto0)<=time_remain(3downto0)-start;

time_remain(3downto0)<=time_remain(3downto0)-1;time_second:

=59;

elseif(time_remain(7downto4)>0)

thentime_remain(7downto4)<=time_remain(7downto4)-start;

time_remain(7downto4)<=time_remain(7downto4)-1;

time_remain(3downto0)<="1001";time_second:

=59;endif;endif;

elseif(time_second=0andtime_second=1)

if(time_remain=0)thentime_is_up<=’0’;elsetime_is_up<=’1’;

time_second:

=time_second-1;endif;endif;endif;

endif;endprocess;endrtl;

⑷译码器

libraryieee;

useieee.std_logic_1164.all;

entitydecoderis

port(Q1,Q2:

instd_logic;

REV,RUN,PAUSE:

outstd_logic);enddecoder;

architecturertlofdecoderis

signalchoose:

std_logic_vector(1downto0);

begin

choose

(1)<=q1;choose(0)<=q2;

process(choose)

begin

casechooseis

when"00"=>REV<='0';RUN<='0';PAUSE<='1';

when"10"=>REV<='0';RUN<='1';PAUSE<='0';

when"01"=>REV<='1';RUN<='0';PAUSE<='0';

whenothers=>REV<='0';RUN<='0';PAUSE<='0';

endcase;

endprocess;

REV<=Q2;RUN<=Q1;PAUSE<=not(Q1ORQ2);

endrtl;

3、仿真及仿真结果分析

仿真信号图如下:

仿真图

 

4、实验调试结果

洗衣机接通电源,按load设置洗涤时间按start、rd置为高电平洗衣机开始工作,当时钟第一个上升沿到达时run(正转功能)为高电平维持20s以后变为低电平而pause(暂停功能)随着时钟上升沿的到来变为高电平维持10s变为低电平,然后rev(反转功能)开始随着时钟上升沿的到来变为高电平工作维持20s后变为低电平,再停止pause置高,接下来电路一直重复上述工作,知道定时器计数结束。

电路设计完成以后,按照预定设计,输入相应数据,三只LED灯按照设定时间规律间断性亮起,表示“正转”、“反转”、“暂停”三个状态。

数码管也显示输入时间并按减数计时产生相应的数字显示,直到到达预定时间停止工作显示零,实验设计达到预期效果。

 

三、结论及心得体会

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正地更好去理解知识,从而提高自己的实际动手能力和独立思考的能力。

在设计的过程中遇到问题,可以说得是困难重重,这毕竟是我第一次做有关于EDA方面的设计,难免会遇到过各种各样的问题,首先是程序编写上有很多难点,其次是软件的使用以及仿真是存在的操作失误等等,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

此次课程设计,学到了很多课内学不到的东西,比如独立思考解决问题,出现差错的随机应变。

让我能够对以前学过的知识进行一遍复习并且更深一步的了解。

参考资料

[1]潘松著.EDA技术实用教程(第二版).北京:

科学出版社,2005.

[2]章彬宏.EDA应用技术.北京:

北京理工大学出版社,2006

[3]罗中华杨戈.EDA与可编程实验教程.重庆:

重庆大学出版社,2005

[4]刘艳萍李志军高振斌EDA实用技术及应用.北京:

国防工业出版社.2005

[5]周立功EDA实验与实

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 初中教育 > 语文

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1