EDA技术课程教案河北工业大学.docx

上传人:b****8 文档编号:30521174 上传时间:2023-08-16 格式:DOCX 页数:21 大小:21.38KB
下载 相关 举报
EDA技术课程教案河北工业大学.docx_第1页
第1页 / 共21页
EDA技术课程教案河北工业大学.docx_第2页
第2页 / 共21页
EDA技术课程教案河北工业大学.docx_第3页
第3页 / 共21页
EDA技术课程教案河北工业大学.docx_第4页
第4页 / 共21页
EDA技术课程教案河北工业大学.docx_第5页
第5页 / 共21页
点击查看更多>>
下载资源
资源描述

EDA技术课程教案河北工业大学.docx

《EDA技术课程教案河北工业大学.docx》由会员分享,可在线阅读,更多相关《EDA技术课程教案河北工业大学.docx(21页珍藏版)》请在冰豆网上搜索。

EDA技术课程教案河北工业大学.docx

EDA技术课程教案河北工业大学

河北工业大学课程教案

学年第学期

 

学院(部)信息工程学院

系(教研室)EDA技术课程组

课程名称EDA技术

任课专业、年级、班级

主讲教师姓名刘艳萍等

职称、职务教授

使用教材EDA实用技术及应用

 

EDA技术课程说明

一、课程基本情况

课程类别:

专业基础课

总学时:

36学时

实验、上机学时:

另外开课EDA技术实验24学时

二、课程性质

本课程是电子信息、通信和电子科学技术专业一门重要的学科基础课程,在第三学年上半学期开设,课程计划总学时为32学时。

主要任务是介绍现代电子系统的设计方法及实现途径,使学生“掌握一种硬件描述语言,熟悉一种设计工具”,进而掌握更多先进的设计方法和工具,对将来在工作中应用EDA技术打下初步的基础。

三、课程的教学目的和基本要求

通过学习与实践,使学生接触、了解、进而初步掌握最先进的电子系统设计技术,学习可编程逻辑器件的一般编程方法和设计思想,并培养他们的抽象思维能力和创新意识;提高学生学习应用电子技术课程知识解决实际问题的能力;锻炼学生应用EDA解决小型数字系统设计的能力。

四、本课程与其它课程的联系

先修课《电路理论》、《数字电子》等课程是学习该课程的基础。

同时,通过学习本门课有助于对其它专业课的理解和掌握,为后续课程奠定基础。

EDA技术课程教案

授课题目(教学章、节或主题):

EDA概述

课时安排

2学时

授课时间

第1次课

教学目的和要求(分掌握、熟悉、了解三个层次):

1.掌握:

EDA技术的涵义和主要内容

2.熟悉:

现代数字系统的设计方法

3.了解:

EDA技术的应用前景

教学内容(包括基本内容、重点、难点):

1.基本内容:

EDA技术的基本概念、特点和一些重要的术语

EDA技术实现的载体和EDA工具

EDA设计的开发流程

现代数字系统的设计方法

EDA技术的应用前景

2.重点:

掌握EDA技术的涵义和主要内容,以及数字系统的设计方法。

3.难点:

理解EDA技术实现的载体----超大规模可编程逻辑器件(CPLD/FPGA)和EDA工具-----VHDL语言、编辑器、综合器、仿真器和适配器。

讲课进程和时间分配:

一EDA技术的涵义约20分钟

二EDA技术的主要内容约30分钟

三数字系统应用设计概述约40分钟

四EDA技术的应用展望约10分钟

讨论、思考题、作业:

思考题:

数字系统的设计方法中传统设计方法和VHDL设计方法的区别是什么?

参考资料(含参考书、文献等):

1)EDA实用技术及应用刘艳萍国防工业出版社

2)VHDL入门.解惑.经典实例.经验总结黄任北航出版社

授课类型(请打√):

理论课□√讨论课□实验课□练习课□其他□

教学方式(请打√):

传统讲授□√双语□讨论□示教□指导□其他□

教学资源(请打√):

多媒体□√模型□实物□挂图□音像□其他□

EDA技术课程教案

授课题目(教学章、节或主题):

VHDL的语言要素

课时安排

4学时

授课时间

第2次课、第3次课

教学目的和要求(分掌握、熟悉、了解三个层次):

1.掌握:

VHDL的客体分类及其性质,VHDL语言的数据类型中的标准数据类型BIT、BIT_VECTOR、INTEGER及用户自定义数据类型中的枚举类型、IEEE库中的STD_LOGIC及STD_LOGIC_VECTOR数据类型,VHDL的命名规则、VHDL运算符

2.熟悉:

VHDL语言数据类型的服务对象

3.了解:

VHDL的其它数据类型

教学内容(包括基本内容、重点、难点):

1.基本内容:

VHDL的客体(数据对象)

VHDL语言的数据类型

VHDL运算符

2.重点:

VHDL的客体信号与变量的含义与区别

数据类型的应用及用户自定义数据类型

运算操作符中同级别优先级的使用

3.难点:

理解客体、数据类型与运算操作符三者的关系,进行正确选择。

讲课进程和时间分配:

一VHDL数据对象约40分钟

二VHDL语言的数据类型约60分钟

三VHDL运算符约30分钟

讨论、思考题、作业:

作业:

教材2-22-32-42-62-82-10

参考资料(含参考书、文献等):

1)EDA实用技术及应用刘艳萍国防工业出版社

2)VHDL入门.解惑.经典实例.经验总结黄任北航出版社

授课类型(请打√):

理论课□√讨论课□实验课□练习课□其他□

教学方式(请打√):

传统讲授□√双语□讨论□示教□指导□其他□

教学资源(请打√):

多媒体□√模型□实物□挂图□音像□其他□

EDA技术课程教案

授课题目(教学章、节或主题):

VHDL语言设计的基本单元及构成、子结构描述

课时安排

2学时

授课时间

第4次课

教学目的和要求(分掌握、熟悉、了解三个层次):

1.掌握:

VHDL语言设计的基本单元以及各个单元的构成和程序格式。

2.熟悉:

VHDL语言与各运算操作符之间的关系及VHDL设计实体的基本框架数据类型的服务对3.了解:

VHDL的其它运算操作符

教学内容(包括基本内容、重点、难点):

1.基本内容:

VHDL语言设计的基本单元及构成

子结构描述(PROCESS语句结构)

2.重点:

PROCESS语句;VHDL语言设计的基本单元以及各个单元的实体说明、结构体说明

3.难点:

理解PROCESS语句的启动、通信,以及在设计实体中如何正确使用

讲课进程和时间分配:

一PROCESS语句结构约35分钟

二VHDL程序完整结构及基本单元约15分钟

三VHDL语言的实体说明约25分钟

四VHDL语言中结构体说明约25分钟

讨论、思考题、作业:

作业:

教材2-112-122-133-23-43-163-173-18

参考资料(含参考书、文献等):

1)EDA实用技术及应用刘艳萍国防工业出版社

2)VHDL入门.解惑.经典实例.经验总结黄任北航出版社

授课类型(请打√):

理论课□√讨论课□实验课□练习课□其他□

教学方式(请打√):

传统讲授□√双语□讨论□示教□指导□其他□

教学资源(请打√):

多媒体□√模型□实物□挂图□音像□其他□

EDA技术课程教案

授课题目(教学章、节或主题):

VHDL语言的子结构描述

课时安排

2学时

授课时间

第5次课

教学目的和要求(分掌握、熟悉、了解三个层次):

1.掌握:

子结构描述的PROCESS语句结构

2.熟悉:

BLOCK语句结构以及子程序语句结构

3.了解:

子程序语句结构的作用

教学内容(包括基本内容、重点、难点):

1.基本内容:

PROCESS语句结构

BLOCK语句结构

子程序语句结构

子程序的调用

2.重点:

PROCESS语句结构

3.难点:

PROCESS语句中信号赋值的操作过程及子程序语句结构的使用,,多进程语句的通信;

讲课进程和时间分配:

一PROCESS语句结构约30分钟

二BLOCK语句结构约20分钟

三子程序语句结构约50分钟

讨论、思考题、作业:

作业:

教材3-53-63-73-10

参考资料(含参考书、文献等):

1)EDA实用技术及应用刘艳萍国防工业出版社

2)VHDL入门.解惑.经典实例.经验总结黄任北航出版社

授课类型(请打√):

理论课□√讨论课□实验课□练习课□其他□

教学方式(请打√):

传统讲授□√双语□讨论□示教□指导□其他□

教学资源(请打√):

多媒体□√模型□实物□挂图□音像□其他□

EDA技术课程教案

授课题目(教学章、节或主题):

VHDL语言的构造体描述的几种方法及库包集合与配置

课时安排

2学时

授课时间

第6次课

教学目的和要求(分掌握、熟悉、了解三个层次):

1.掌握:

VHDL语言构造体的几种描述方法中的结构描述方法、库和包集合的调用方法

2.熟悉:

几种描述方法中的行为及描述方法与数据流描述方法,常用的库和包集合

3.了解:

配置的作用及其使用方法

教学内容(包括基本内容、重点、难点):

1.基本内容:

行为及描述方法

数据流描述方法

结构的描述方法

VHDL语言中库和包集合的调用

配置

2.重点:

行为及描述方法

数据流描述方法

结构的描述方法

VHDL语言中库和包集合的调用

3.难点:

VHDL语言构造体的几种描述方法的理解与使用;库、包集合和配置的理解;

讲课进程和时间分配:

一VHDL语言构造体的几种描述方法约60分钟

二VHDL语言中库和包集合的配置约40分钟

讨论、思考题、作业:

作业:

教材3-113-123-14

参考资料(含参考书、文献等):

1)EDA实用技术及应用刘艳萍国防工业出版社

2)VHDL入门.解惑.经典实例.经验总结黄任北航出版社

授课类型(请打√):

理论课□√讨论课□实验课□练习课□其他□

教学方式(请打√):

传统讲授□√双语□讨论□示教□指导□其他□

教学资源(请打√):

多媒体□√模型□实物□挂图□音像□其他□

EDA技术课程教案

授课题目(教学章、节或主题):

并行处理语句

课时安排

2学时

授课时间

第7次课

教学目的和要求(分掌握、熟悉、了解三个层次):

1.掌握:

进程语句、并发信号赋值语句、条件信号赋值语句、选择信号赋值语句、元件例化语句

2.熟悉:

条件信号赋值语句、选择信号赋值语句的区别与特点,元件例化语句应用的描述方法

3.了解:

块语句、并发过程调用语句、生成语句

教学内容(包括基本内容、重点、难点):

1.基本内容:

并行描述语句介绍

并行信号赋值语句

进程(process)语句

元件例化语句

2.重点:

掌握并行信号赋值语句、进程语句、元件例化语句的应用。

3.难点:

理解各种并行信号赋值语句的区别,元件例化语句应用,选择正确语句进行各种数字电路描述。

讲课进程和时间分配:

一并行描述语句介绍约10分钟

二并行信号赋值语句约50分钟

三进程(process)语句和块语句约10分钟

四元件例化语句约30分钟

讨论、思考题、作业:

作业:

教材4-104-114-124-134-14

参考资料(含参考书、文献等):

1)EDA实用技术及应用刘艳萍国防工业出版社

2)VHDL入门.解惑.经典实例.经验总结黄任北航出版社

授课类型(请打√):

理论课□√讨论课□实验课□练习课□其他□

教学方式(请打√):

传统讲授□√双语□讨论□示教□指导□其他□

教学资源(请打√):

多媒体□√模型□实物□挂图□音像□其他□

EDA技术课程教案

授课题目(教学章、节或主题):

并发描述语句及顺序描述语句

课时安排

2学时

授课时间

第8次课

教学目的和要求(分掌握、熟悉、了解三个层次):

1.掌握:

顺序赋值语句、IF语句以及它们的应用

2.熟悉:

LOOP语句及应用

3.了解:

生成语句的应用

教学内容(包括基本内容、重点、难点):

1.基本内容:

生成语句

顺序描述语句介绍

顺序赋值语句及应用

IF语句及应用

2.重点:

掌握顺序赋值语句与并行赋值语句的区别

掌握各种顺序语句的使用场合并正确使用

3.难点:

正确选用各种赋值语句、顺序语句进行数字电路描述。

讲课进程和时间分配:

一生成语句约30分钟

二顺序描述语句介绍约10分钟

三顺序赋值语句及应用约10分钟

四WAIT语句约10分钟

五IF语句及应用约30分钟

讨论、思考题、作业:

作业:

教材4-24-44-54-64-8

参考资料(含参考书、文献等):

1)EDA实用技术及应用刘艳萍国防工业出版社

2)VHDL入门.解惑.经典实例.经验总结黄任北航出版社

授课类型(请打√):

理论课□√讨论课□实验课□练习课□其他□

教学方式(请打√):

传统讲授□√双语□讨论□示教□指导□其他□

教学资源(请打√):

多媒体□√模型□实物□挂图□音像□其他□

EDA技术课程教案

授课题目(教学章、节或主题):

顺序描述语句、属性

课时安排

2学时

授课时间

第9次课

教学目的和要求(分掌握、熟悉、了解三个层次):

1.掌握:

属性的概念、作用及常用的属性

2.通过习题课对前四章内容进行总结

教学内容(包括基本内容、重点、难点):

1.基本内容:

CASE语句及应用

LOOP语句及应用

事件发生属性

范围属性

前四章基本知识(填空、判断、改错)

2.重点:

掌握顺序赋值语句与并行赋值语句的区别

掌握各种顺序语句的使用场合并正确使用

事件发生属性

3.难点:

正确选用各种赋值语句、顺序语句进行数字电路描述。

属性基本概念的理解及应用。

讲课进程和时间分配:

一CASE语句及应用约40分钟

二LOOP语句及应用约30分钟

三属性约30分钟

讨论、思考题、作业:

作业:

教材4-9

参考资料(含参考书、文献等):

1)EDA实用技术及应用刘艳萍国防工业出版社

2)VHDL入门.解惑.经典实例.经验总结黄任北航出版社

授课类型(请打√):

理论课□√讨论课□实验课□练习课□其他□

教学方式(请打√):

传统讲授□√双语□讨论□示教□指导□其他□

教学资源(请打√):

多媒体□√模型□实物□挂图□音像□其他□

EDA技术课程教案

授课题目(教学章、节或主题):

对前四章所学知识系统复习,作业讲解

课时安排

2学时

授课时间

第10次课

教学目的和要求(分掌握、熟悉、了解三个层次):

1.同前9次课要求

教学内容(包括基本内容、重点、难点):

1.系统复习

讲课进程和时间分配:

1、系统复习

讨论、思考题、作业:

参考资料(含参考书、文献等):

1)EDA实用技术及应用刘艳萍国防工业出版社

2)VHDL入门.解惑.经典实例.经验总结黄任北航出版社

授课类型(请打√):

理论课□√讨论课□实验课□练习课□其他□

教学方式(请打√):

传统讲授□√双语□讨论□示教□指导□其他□

教学资源(请打√):

多媒体□√模型□实物□挂图□音像□其他□

 

EDA技术课程教案

授课题目(教学章、节或主题):

组和逻辑电路设计

课时安排

2学时

授课时间

第11次课

教学目的和要求(分掌握、熟悉、了解三个层次):

1.掌握:

各种组和逻辑电路的基本设计方法

2.熟悉:

各种组和逻辑电路的设计思路

3.了解:

利用VHDL语言进行各种组和逻辑电路设计的技巧

教学内容(包括基本内容、重点、难点):

1.基本内容:

简单门电路设计

编码器、译码器、选择器

加法器、求补器

三态门

2.重点:

掌握各种组和逻辑电路的设计方法。

3.难点:

掌握灵活利用VHDL语言进行各种组和逻辑电路设计的技巧。

讲课进程和时间分配:

一简单门电路设计约15分钟

二编码器、译码器、选择器约35分钟

三加法器、求补器约35分钟

四三态门约15分钟

讨论、思考题、作业:

作业:

教材5-10

参考资料(含参考书、文献等):

1)EDA实用技术及应用刘艳萍国防工业出版社

2)VHDL入门.解惑.经典实例.经验总结黄任北航出版社

授课类型(请打√):

理论课□√讨论课□实验课□练习课□其他□

教学方式(请打√):

传统讲授□√双语□讨论□示教□指导□其他□

教学资源(请打√):

多媒体□√模型□实物□挂图□音像□其他□

EDA技术课程教案

授课题目(教学章、节或主题):

缓冲器、时序电路设计

课时安排

2学时

授课时间

第12次课

教学目的和要求(分掌握、熟悉、了解三个层次):

1.掌握:

缓冲器及各种时序逻辑电路的基本设计方法

2.熟悉:

各种时序逻辑电路的设计思路

3.了解:

利用VHDL语言进行各种时序电路设计的技巧

教学内容(包括基本内容、重点、难点):

1.基本内容:

时钟信号与复位信号的描述

锁存器

寄存器

2.重点:

掌握各种时序逻辑电路的设计方法。

3.难点:

掌握灵活利用VHDL语言进行各种时序电路设计的技巧。

讲课进程和时间分配:

一时钟信号与复位信号的描述约15分钟

二锁存器约25分钟

三寄存器约25分钟

四计数器约35分钟

讨论、思考题、作业:

作业:

教材5-15—9

参考资料(含参考书、文献等):

1)EDA实用技术及应用刘艳萍国防工业出版社

2)VHDL入门.解惑.经典实例.经验总结黄任北航出版社

授课类型(请打√):

理论课□√讨论课□实验课□练习课□其他□

教学方式(请打√):

传统讲授□√双语□讨论□示教□指导□其他□

教学资源(请打√):

多媒体□√模型□实物□挂图□音像□其他□

EDA技术课程教案

授课题目(教学章、节或主题):

计数器及计数器应用

课时安排

2学时

授课时间

第13次课

教学目的和要求(分掌握、熟悉、了解三个层次):

1.掌握:

计数器及应用计数器进行各种时序电路的设计方法

2.熟悉:

偶分频器、奇分频器、序列信号发生器的设计思路

3.了解:

计数器的其它用途

教学内容(包括基本内容、重点、难点):

1.基本内容:

特定计数值的计数器及通用计数器

偶分频器

任意置分频系数的偶分频器

奇分频器

序列信号发生器

具有显示功能的计数器

2.重点:

掌握计数器及应用计数器进行各种时序电路的设计方法。

3.难点:

灵活利用学过的各个模块进行复杂电路设计的方法。

讲课进程和时间分配:

一计数器约40分钟

二分频器约25分钟

三任意置分频系数的偶分频器约15分钟

四序列信号发生器约10分钟

五彩灯控制器约10分钟

讨论、思考题、作业:

作业:

教材5-75-85—14

参考资料(含参考书、文献等):

1)EDA实用技术及应用刘艳萍国防工业出版社

2)VHDL入门.解惑.经典实例.经验总结黄任北航出版社

授课类型(请打√):

理论课□√讨论课□实验课□练习课□其他□

教学方式(请打√):

传统讲授□√双语□讨论□示教□指导□其他□

教学资源(请打√):

多媒体□√模型□实物□挂图□音像□其他□

EDA技术课程教案

授课题目(教学章、节或主题):

状态机设计

课时安排

2学时

授课时间

第14次课

教学目的和要求(分掌握、熟悉、了解三个层次):

1.掌握:

Moore状态机和Mealy状态机的VHDL描述方法

2.熟悉:

状态机设计的一般方法

3.了解:

状态机的描述风格

教学内容(包括基本内容、重点、难点):

1.基本内容:

状态机设计的一般方法

Moore状态机的VHDL描述

Mealy状态机的VHDL描述

状态机的应用

2.重点:

掌握Moore状态机和Mealy状态机的VHDL描述方法。

3.难点:

状态机在系统设计中的灵活应用。

讲课进程和时间分配:

一状态机设计的一般方法约20分钟

二Moore状态机的VHDL描述约30分钟

三Mealy状态机的VHDL描述约20分钟

四状态机应用约30分钟

讨论、思考题、作业:

作业:

教材5-115-12

思考题:

如何采用状态机实现交通灯控制器的设计?

参考资料(含参考书、文献等):

1)EDA实用技术及应用刘艳萍国防工业出版社

2)VHDL入门.解惑.经典实例.经验总结黄任北航出版社

授课类型(请打√):

理论课□√讨论课□实验课□练习课□其他□

教学方式(请打√):

传统讲授□√双语□讨论□示教□指导□其他□

教学资源(请打√):

多媒体□√模型□实物□挂图□音像□其他□

EDA技术课程教案

授课题目(教学章、节或主题):

状态机设计

课时安排

2学时

授课时间

第15次课

教学目的和要求(分掌握、熟悉、了解三个层次):

1.掌握:

Moore状态机和Mealy状态机的VHDL描述方法

2.熟悉:

状态机设计的一般方法

3.了解:

状态机的描述风格

教学内容(包括基本内容、重点、难点):

1.基本内容:

状态机的应用

状态机的容错设计

2.重点:

掌握Moore状态机和Mealy状态机的VHDL描述方法。

3.难点:

状态机在系统设计中的灵活应用。

讲课进程和时间分配:

一回忆上节课内容约5分钟

二状态机应用约40分钟

三状态机的容错设计约20分钟

四对第五章内容整体回顾约35分钟

讨论、思考题、作业:

作业:

教材5-115-12

参考资料(含参考书、文献等):

1)EDA实用技术及应用刘艳萍国防工业出版社

2)VHDL入门.解惑.经典实例.经验总结黄任北航出版社

授课类型(请打√):

理论课□√讨论课□实验课□练习课□其他□

教学方式(请打√):

传统讲授□√双语□讨论□示教□指导□其他□

教学资源(请打√):

多媒体□√模型□实物□挂图□音像□其他□

EDA技术课程教案

授课题目(教学章、节或主题):

应用系统设计举例

课时安排

2学时

授课时间

第16次课

教学目的和要求(分掌握、熟悉、了解三个层次):

1.掌握:

前几章所学知识的综合运用

2.熟悉:

数字钟的系统设计实现

3.了解:

数字钟的系统设计实现方法

教学内容(包括基本内容、重点、难点):

1.基本内容:

数字钟设计

2.重点:

掌握数字钟的系统设计方法。

3.难点:

综合运用所学知识,灵活应用与各种系统设计中。

讲课进程和时间分配:

功能划分约20分钟

通用计数器设计约10分钟

2、系统的顶层设计约10分钟

3、各功能模块实现约60分钟

讨论、思考题、作业:

思考题:

阅读教材附录中数字钟的程序,预习实验内容。

参考资料(含参考书、文献等):

1)EDA实用技术及应用刘艳萍国防工业出版社

2)VHDL入门.解惑.经典实例.经验总结黄任北航出版社

授课类型(请打√):

理论课□√讨论课□实验课□练习课□其他□

教学方式(请打√):

传统讲授□√双语□讨论□示教□指导□其他□

教学资源(请打√):

多媒体□√模型□实物□挂图□音像□其他□

 

EDA技术课程教案

授课题目(教学章、节或主题):

可编程逻辑器件基础、仿真及逻辑综合

课时安排

2学时

授课时间

第17次课

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > PPT模板 > 商务科技

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1