表决器.docx

上传人:b****8 文档编号:30377008 上传时间:2023-08-14 格式:DOCX 页数:15 大小:144.10KB
下载 相关 举报
表决器.docx_第1页
第1页 / 共15页
表决器.docx_第2页
第2页 / 共15页
表决器.docx_第3页
第3页 / 共15页
表决器.docx_第4页
第4页 / 共15页
表决器.docx_第5页
第5页 / 共15页
点击查看更多>>
下载资源
资源描述

表决器.docx

《表决器.docx》由会员分享,可在线阅读,更多相关《表决器.docx(15页珍藏版)》请在冰豆网上搜索。

表决器.docx

表决器

标题…………………………………………………………………………………………………………4

中文摘要……………………………………………………………………………………………………4

前言…………………………………………………………………………………………………………4

一、设计与制作的主要内容…………………………………………………………………………4

二、设计任务及设计要求……………………………………………………………………………4

三、所用器材……………………………………………………………………………………………5

3.174LS283简介……………………………………………………………………………………5

3.1.174LS283管脚的简要说明…………………………………………………………………5

3.2CC4511简介…………………………………………………………………………5

3.2.1CC4511的管脚图……………………………………………………………………………5

3.3七段数码管指示图……………………………………………………………………………6

四、实验原理及设计思想……………………………………………………………………………6

4.1方案一:

…………………………………………………………………………………………6

4.1.1原理方框图……………………………………………………………………………………6

4.1.2操作流程图……………………………………………………………………………………6

4.1.3内容简述………………………………………………………………………………………7

4.1.4电路原理图……………………………………………………………………………………8

4.2方案二:

…………………………………………………………………………………………8

4.2.1内容简介………………………………………………………………………………………8

4.2.2真值表…………………………………………………………………………………………8

4.2.3电路说明……………………………………………………………………………8

4.3两方案比较……………………………………………………………………………9

五、Multisim软件仿真………………………………………………………………………………9

5.1仿真设计…………………………………………………………………………………9

5.2设计VHDL源程序………………………………………………………………………10

六、设计结果及心得体会…………………………………………………………………………12

参考文献…………………………………………………………………………………………………13

致谢………………………………………………………………………………………………………14

外文页……………………………………………………………………………………………………15

表决器的设计与制作

摘要本次设计的七人表决器,是投票系统中的客户端,是一种代表投票或举手表决的表决装置。

表决时,与会的有关人员只要按动各自表决器上“赞成”“反对”“弃权”的某一按钮,荧光屏上即显示出表决结果。

在七人表决器中七个人分别用手指拨动开关SW1、SW2、SW3、SW4、SW5、SW6、SW7来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方),不同意就把自己的指拨开关拨到低电平(下方)。

表决结果用LED(高电平亮)显示,如果决议通过那么发光二极管会发亮;如果不通过那么发光二极管就不亮;如果对某个决议有任意四到七人同意,那么此决议通过,发光二极管就会发亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,发光二极管就不会亮。

关键词表决器设计制作

前言

表决器(votingmachine),是投票系统中的客户端,是一种代表投票或举手表决的表决装置。

表决时,与会的有关人员只要按动各自表决器上“赞成”、“反对”、“弃权”的某一按钮,荧光屏上即显示出表决结果。

目前,表决器可分为有线表决器和无线表决器两大类,其中有线表决器已经退出此项市场,而无线投票表决器已成为市场主流。

通过对表决器的设计和对其基本结构的分析了解和对其操作流程的熟悉,可以更清楚地认识和运用它。

一、设计与制作的主要内容

按照设计题目,根据所学的组合逻辑所学的知识及数字电路和嵌入式的知识完成七人表决器的设计,使之能够满足表决时少数服从多数的表决规则,根据逻辑真值表和逻辑表达式完成表决功能。

首先根据七人多数表决电路列出真值表,进行化简,写出逻辑表达式,画出逻辑图;然后采用MAX+plusⅡ的原理图输入设计法进行设计。

二、设计任务及设计要求

1.用7个开关模拟7个人投票,“1”表示赞成,“0”表示反对。

有4票以上赞成,决议通过。

2.电路可以自动统计出票数,并在数码管上显示出来。

3.用一个发光二极管表示决议是否通过。

三、所用器材

74LS283两片CC4511一片数码管一个

开关七个发光二极管一个导线若干

芯片引脚如下

3.174LS283简介:

74LS283的管脚图如下所示:

3.1.174LS283管脚的简要说明:

74LS283可进行两个4位二进制数的加法运算,每位有和输出Σ1~Σ4,进位由第四位得到C4引出端符号

A1–A4运算输入端

B1–B4运算输入端

C0进位输入端

Σ1–Σ4和输出端

C4进位输出端

3.2CC4511简介

3.2.1CC4511的管脚图

CC4511的管脚图如下所示:

CC4511的管脚说明如下

CC4511是双列16脚封装,BCD-7段锁存或译码器。

它将输入BCD标准代码变成驱动7段数码管所需的码信号。

它又称四线—七段锁存译码器,其中四线A-D为BCD码输入端,高电平有效,A为低位输入端,D为高位端,七段a-g输出高电平以驱动共阴极数码管发光。

LE为锁存控制端,高电平时能锁存输入的BCD码。

LT为灯测试反向控制端,BI为消隐反向控制端。

3.3、七段数码管指示图

七段数码管指示图的结构示意图如下所示

使用一个七段数码管用以显示表决结果,通过显示P,否则显示E;另外使用两个数码管用以分别显示同意与不同意的人数,

四、实验原理及设计思想:

4.1方案一:

4.1.1原理方框图:

 

4.1.2操作流程图如下

 

4.1.3内容简述:

表决器分为三个:

“表决数据的输入部分、数据控制处理部分、结果的输出部分”。

通过这三大部分构成了整个表决器,表决人员表决结果:

“同意、否决”两个不同的数据用三个按钮来分别输入,经过总线送入数据控制处理部分电路中,送入的数据经过计数器统计处理后,再通过数据比较器进行比较,将结果反映在数码管上输出。

4.1.4电路原理图:

4.2方案二:

4.2.1内容简介:

用全加器(74LS283)来统计投票的人数,由于最多有7人投票,当有4人以上投票时,加法器的最终输出结果的次高位为高电平,于是可以给次高位连接一个发光二极管用来表示决议是否通过。

加法器的输出结果再通过常用的CMOS七段显示译码器74HC4511来实现结果的编译,通过LED数码管显示投票的人数。

4.2.2真值表:

A

B

C

D

E

F

G

Y

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

0

0

0

0

0

0

1

0

0

0

0

0

0

0

1

1

1

1

1

1

1

1

0

0

1

1

1

1

1

1

0

1

1

1

1

1

1

1

1

0

1

1

1

1

1

1

1

1

1

 

1

1

1

0

0

0

0

0

其它值省略

4.2.3电路说明

当投票时,有几人投票,LED数码管即可显示所投票的人数。

当有超过4人投票时,LED数码管显示投票人数,同时发光二极管亮,表示通过。

由此,此电路满足设计的要求。

4.3两方案比较

方案一设计的较复杂,由于耗材多从经济实用和实验室的设备来考虑,则方案二更合适,它耗材少而且原理简单还可以同样的反应出实验结果,所以实验二比较合适。

两方案都是用全加器实现但原理上方案二比较直观、易懂适合初学者学习和掌握。

五、Multisim软件仿真

5.1仿真设计

应用MAX+plusⅡ软件的原理图设计法,设计编译一个七人多数表决电路,用与门,或门来实现,进行系统仿真并用EDA实验开发系统进行硬件验证.通过VHDL编程,实现七人表决器,要求有7个表决输入端,一个清零端,一个锁存端,表决结果显示端。

1.在MAX+plusⅡ中打开已经建好的工程文件。

2.按要求选择设备并且分配管脚,重新编译,连接实验板的电源线与下载线,下载程序文件。

3.分别拨动开关sw0到sw6,看是否能够满足基本要求;在分别拨动sw16,sw17,看是否能够按要求显示表决人数结果与对应的LED灯是否正常亮与灭。

4.调试程序直到能够完成设计的要求。

5.最后结果:

实验板启动默认可以显示表决结果为E。

任意拨动4个开关后可以正确显示比例和P;拨动选择显示记名,与开关对应LED等亮起;选择显示比例,则另两个数码管可以显示双方人数比例。

各个符号表示的含义如下:

set:

选择是否记名

set1:

选择是否显示投票人数及比例

xin[0:

6]:

表决输入,分别是七个拨动开关

sel:

输出指示是否记名投票

sel1:

输出指示是否显示投票人数及比例

xout[0:

6]:

译码用数码管输出表决是否通过

xout0[0:

6]:

译码用数码管输出同意的人数(set1=1)

xout1[0:

6]:

译码用数码管输出不同意同意的人数(set1=1)

xout2[0:

6]:

译码用七个LED输出记名结果

5.2设计VHDL源程序

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entitybiaois

port(

set,set1:

instd_logic;----控制按键

xin:

instd_logic_vector(6downto0);----按键输入表决

sel,sel2:

outstd_logic;-------控制指示灯

xout,xout0,xout1,xout2:

outstd_logic_vector(6downto0)

);----xout显示结果,xout0显示否决的人数,xout1赞成的人数,xout2LED输出

endentity;

architecturebevofbiaois

begin

process(xin,set,set1)

variablej:

integer:

=0;

begin

j:

=0;

foriin0to7loop------统计同意的个数

ifxin(i)='1'then

j:

=j+1;

endif;

endloop;

if(set='1')then-------是否记名投票

sel<='1';

xout2<=xin;-------记名投票

else

sel<='0';

xout2<="0000000";

endif;

ifj>4then------判决是否表决通过并输出结果

xout<="0001100";----数码管显示P

elsexout<="0000110";-----数码管显示E

endif;

ifset1='1'then------是否显示表决比例

sel2<='1';------显示指示灯亮并且译码输出同意与不同意的数目

casejis------显示赞成的人数

when0=>xout1<="1000000";

when1=>xout1<="1111001";

when2=>xout1<="0100100";

when3=>xout1<="0110000";

when4=>xout1<="0011001";

when5=>xout1<="0010010";

when6=>xout1<="0000010";

when7=>xout1<="1111000";

whenothers=>xout1<="XXXXXXX";

endcase;

casejis------显示不赞成的人数

when7=>xout0<="1000000";

when6=>xout0<="1111001";

when5=>xout0<="0100100";

when4=>xout0<="0110000";

when3=>xout0<="0011001";

when2=>xout0<="0010010";

when1=>xout0<="0000010";

when0=>xout0<="1111000";

whenothers=>xout0<="XXXXXXX";

endcase;

else------不显示表决比例

sel2<='0';

xout0<="1111111";----不显示数字

xout1<="1111111";

endif;

endprocess;

endarchitecturebev

通过软件仿真,更直观的实现了七人表决器的功能,能够根据投票的不同数目来显示出票数和表决的结果。

这为具体的硬件实验提供了可靠的保证。

六、设计结果及心得体会

通过对表决器的的设计,仿真,实验,终于完成了设计与制作表决器的流程,并达到了预期的效果,实现了七人投票表决器的功能。

在这次设计过程中,我遇到了很多问题。

首先在设计原理图时,需要扎实的理论知识,还要确保设计所需要的芯片实验室有,我在图书馆和网路上翻阅了大量资料,但是很有局限性,方案很少,有些芯片实验室没有。

最后经过翻阅课本,分析原理,对比方案,最终确立了本方案。

在实验过程中,给连接好的面包板供电后,并没有出现正确的计数结果,我们又对原理图进一步检查分析,经过多次修改并试验后,数码管上终于显示了正确的统计票数的结果。

通过这次数字电路设计性实验,让我学到了很多,不仅巩固了先前模拟电路,数字电路的知识,培养了我动手的能力,更开拓了我的思维,让我学会了更全面的去思考,分析,解决问题,让我懂得了对待科学必须要有认真,严谨的态度。

希望学院以后能多给我们这样的实践机会。

 

参考文献

[1]傅劲松.《电子制作实例集锦》[M].福建科技技术出版社,2006.

[2]廖先芸.《电子技术实践与训练》[M].高等教育出版社,2000.

[3]孙梅升.《电子技术基础课程设计》[M].高等教育出版社,2003.

[4]杨崇志,康博南.《电子爱好者之使用技术手册》[M].福建科学技术出版社,2002.

[5]沈任元,吴勇.《数字电子技术基础》[M].机械工业出版社,2007.

[6]詹林.《单片机原理与应用》[M].西北工业大学出版社,2008.

[7]吕国泰,吴项.《电子技术》[M].高等教育出版社,2006.

[8]王成安,毕秀梅.《电子产品工艺与实训》[M].机械工业出版社,2008.

[9]周亚军.《电气控制与PLC原理及应用》[M].西安电子科技大学出版社,2008.

[10]龙海燕,杨刚.《嵌入式系统设计与实践》[M].北京航空航天大学出版社,2009.

[11]冯民昌.《数字集成电路系统》(第二版)[M].中国铁道出版社,2003.

.

 

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高中教育 > 高考

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1