ImageVerifierCode 换一换
格式:DOCX , 页数:15 ,大小:144.10KB ,
资源ID:30377008      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/30377008.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(表决器.docx)为本站会员(b****8)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

表决器.docx

1、表决器标题4中文摘要4前言4一、设计与制作的主要内容4二、设计任务及设计要求4三、所用器材53.1 74LS283简介53.1.1 74LS283管脚的简要说明53.2 CC4511简介53.2.1 CC4511的管脚图53.3 七段数码管指示图6四、实验原理及设计思想64.1方案一:64.1.1原理方框图64.1.2操作流程图64.1.3内容简述74.1.4电路原理图84.2方案二:84.2.1 内容简介84.2.2真值表84.2.3电路说明84.3两方案比较9五、Multisim软件仿真95.1仿真设计95.2设计VHDL源程序10六、 设计结果及心得体会12参考文献13致谢14外文页15

2、表决器的设计与制作摘 要 本次设计的七人表决器,是投票系统中的客户端,是一种代表投票或举手表决的表决装置。表决时,与会的有关人员只要按动各自表决器上“赞成”“反对”“弃权”的某一按钮,荧光屏上即显示出表决结果。在七人表决器中七个人分别用手指拨动开关SW1、SW2、SW3、SW4、SW5、SW6、SW7来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方),不同意就把自己的指拨开关拨到低电平(下方)。表决结果用LED(高电平亮)显示,如果决议通过那么发光二极管会发亮;如果不通过那么发光二极管就不亮;如果对某个决议有任意四到七人同意,那么此决议通过,发光二极管就会发亮;如果对

3、某个决议只有一个人或没人同意,那么此决议不通过,发光二极管就不会亮。关键词 表决器 设计 制作前 言表决器(votingmachine),是投票系统中的客户端,是一种代表投票或举手表决的表决装置。表决时,与会的有关人员只要按动各自表决器上“赞成”、“反对”、“弃权”的某一按钮,荧光屏上即显示出表决结果。目前,表决器可分为有线表决器和无线表决器两大类,其中有线表决器已经退出此项市场,而无线投票表决器已成为市场主流。通过对表决器的设计和对其基本结构的分析了解和对其操作流程的熟悉,可以更清楚地认识和运用它。一、设计与制作的主要内容按照设计题目,根据所学的组合逻辑所学的知识及数字电路和嵌入式的知识完成

4、七人表决器的设计,使之能够满足表决时少数服从多数的表决规则,根据逻辑真值表和逻辑表达式完成表决功能。首先根据七人多数表决电路列出真值表,进行化简,写出逻辑表达式,画出逻辑图;然后采用MAX+plus的原理图输入设计法进行设计。二、设计任务及设计要求1.用7个开关模拟7个人投票,“1”表示赞成,“0”表示反对。有4票以上赞成,决议通过。2.电路可以自动统计出票数,并在数码管上显示出来。3.用一个发光二极管表示决议是否通过。三、所用器材74LS283两片 CC4511一片 数码管一个开关七个 发光二极管一个 导线若干芯片引脚如下 3.1 74LS283简介:74LS283的管脚图如下所示:3.1.

5、1 74LS283管脚的简要说明:74LS283 可进行两个4 位二进制数的加法运算,每位有和输出14,进位由第四位得到C4引出端符号A1A4 运算输入端B1B4 运算输入端C0 进位输入端14 和输出端C4 进位输出端3.2 CC4511简介3.2.1 CC4511的管脚图CC4511的管脚图如下所示:CC4511的管脚说明如下CC4511是双列16脚封装,BCD-7段锁存或译码器。它将输入BCD标准代码变成驱动7段数码管所需的码信号。它又称四线七段锁存译码器,其中四线A-D为BCD码输入端,高电平有效,A为低位输入端,D为高位端,七段a-g输出高电平以驱动共阴极数码管发光。LE为锁存控制端

6、,高电平时能锁存输入的BCD码。LT为灯测试反向控制端,BI为消隐反向控制端。3.3、 七段数码管指示图 七段数码管指示图的结构示意图如下所示使用一个七段数码管用以显示表决结果,通过显示P,否则显示E;另外使用两个数码管用以分别显示同意与不同意的人数,四、实验原理及设计思想:4.1方案一:4.1.1原理方框图:4.1.2操作流程图如下4.1.3 内容简述:表决器分为三个:“表决数据的输入部分、数据控制处理部分、结果的输出部分”。通过这三大部分构成了整个表决器,表决人员表决结果:“同意、否决”两个不同的数据用三个按钮来分别输入,经过总线送入数据控制处理部分电路中,送入的数据经过计数器统计处理后,

7、再通过数据比较器进行比较,将结果反映在数码管上输出。4.1.4电路原理图:4.2方案二:4.2.1 内容简介:用全加器(74LS283)来统计投票的人数,由于最多有7人投票,当有4人以上投票时,加法器的最终输出结果的次高位为高电平,于是可以给次高位连接一个发光二极管用来表示决议是否通过。加法器的输出结果再通过常用的CMOS七段显示译码器74HC4511来实现结果的编译,通过LED数码管显示投票的人数。4.2.2真值表:ABCDEFGY000000000000001000000100000001111111100111111011111111011111111111100000其它值省略4.2.

8、3电路说明当投票时,有几人投票,LED数码管即可显示所投票的人数。当有超过4人投票时,LED数码管显示投票人数,同时发光二极管亮,表示通过。由此,此电路满足设计的要求。4.3两方案比较方案一设计的较复杂,由于耗材多从经济实用和实验室的设备来考虑,则方案二更合适,它耗材少而且原理简单还可以同样的反应出实验结果,所以实验二比较合适。两方案都是用全加器实现但原理上方案二比较直观、易懂适合初学者学习和掌握。五、Multisim软件仿真5.1仿真设计应用MAX+plus软件的原理图设计法,设计编译一个七人多数表决电路,用与门,或门来实现,进行系统仿真并用EDA实验开发系统进行硬件验证.通过VHDL编程,

9、实现七人表决器,要求有7个表决输入端,一个清零端,一个锁存端,表决结果显示端。1.在MAX+plus中打开已经建好的工程文件。2. 按要求选择设备并且分配管脚,重新编译,连接实验板的电源线与下载线,下载程序文件。3. 分别拨动开关sw0到sw6,看是否能够满足基本要求;在分别拨动sw16,sw17,看是否能够按要求显示表决人数结果与对应的LED灯是否正常亮与灭。4. 调试程序直到能够完成设计的要求。5. 最后结果:实验板启动默认可以显示表决结果为E。任意拨动4个开关后可以正确显示比例和P;拨动选择显示记名,与开关对应LED等亮起;选择显示比例,则另两个数码管可以显示双方人数比例。各个符号表示的

10、含义如下:set:选择是否记名 set1:选择是否显示投票人数及比例 xin0:6:表决输入,分别是七个拨动开关 sel:输出指示是否记名投票 sel1:输出指示是否显示投票人数及比例 xout0:6:译码用数码管输出表决是否通过 xout00:6:译码用数码管输出同意的人数(set1=1) xout10:6:译码用数码管输出不同意同意的人数(set1=1) xout20:6:译码用七个LED输出记名结果5.2设计VHDL源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity biao

11、 isport ( set, set1: in std_logic ; -控制按键 xin: in std_logic_vector ( 6 downto 0 ); -按键输入表决 sel,sel2: out std_logic; -控制指示灯 xout,xout0,xout1,xout2 : out std_logic_vector ( 6 downto 0 ) ); -xout显示结果,xout0显示否决的人数,xout1赞成的人数,xout2 LED输出end entity ;architecture bev of biao isbegin process ( xin ,set,set1

12、) variable j: integer :=0; begin j:=0; for i in 0 to 7 loop -统计同意的个数 if xin(i)=1 then j:=j+1; end if; end loop;if (set=1) then -是否记名投票 sel=1; xout2=xin; -记名投票 else sel=0; xout24 then -判决是否表决通过并输出结果xout=0001100; -数码管显示Pelse xout=0000110; -数码管显示Eend if;if set1=1 then -是否显示表决比例 sel2xout1xout1xout1xout1

13、xout1xout1xout1xout1xout1xout0xout0xout0xout0xout0xout0xout0xout0xout0=XXXXXXX; end case;else -不显示表决比例 sel2=0; xout0=1111111; -不显示数字 xout1=1111111;end if; end process;end architecture bev通过软件仿真,更直观的实现了七人表决器的功能,能够根据投票的不同数目来显示出票数和表决的结果。这为具体的硬件实验提供了可靠的保证。六、 设计结果及心得体会 通过对表决器的的设计,仿真,实验,终于完成了设计与制作表决器的流程,并

14、达到了预期的效果,实现了七人投票表决器的功能。 在这次设计过程中,我遇到了很多问题。首先在设计原理图时,需要扎实的理论知识,还要确保设计所需要的芯片实验室有,我在图书馆和网路上翻阅了大量资料,但是很有局限性,方案很少,有些芯片实验室没有。最后经过翻阅课本,分析原理,对比方案,最终确立了本方案。 在实验过程中,给连接好的面包板供电后,并没有出现正确的计数结果,我们又对原理图进一步检查分析,经过多次修改并试验后,数码管上终于显示了正确的统计票数的结果。 通过这次数字电路设计性实验,让我学到了很多,不仅巩固了先前模拟电路,数字电路的知识,培养了我动手的能力,更开拓了我的思维,让我学会了更全面的去思考

15、,分析,解决问题,让我懂得了对待科学必须要有认真,严谨的态度。希望学院以后能多给我们这样的实践机会。参考文献1傅劲松电子制作实例集锦M福建科技技术出版社,20062廖先芸 电子技术实践与训练M高等教育出版社,20003孙梅升 电子技术基础课程设计M高等教育出版社,20034杨崇志,康博南 电子爱好者之使用技术手册M福建科学技术出版社,20025沈任元,吴勇 数字电子技术基础M机械工业出版社,20076詹林 单片机原理与应用M西北工业大学出版社,20087吕国泰,吴项电子技术M高等教育出版社,20068王成安,毕秀梅.电子产品工艺与实训M机械工业出版社,20089周亚军电气控制与PLC原理及应用M西安电子科技大学出版社,200810龙海燕,杨刚嵌入式系统设计与实践M 北京航空航天大学出版社,200911冯民昌数字集成电路系统(第二版)M中国铁道出版社,2003.

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1