电路原理移相器实验设计原理.docx

上传人:b****4 文档编号:2841797 上传时间:2022-11-15 格式:DOCX 页数:14 大小:133.04KB
下载 相关 举报
电路原理移相器实验设计原理.docx_第1页
第1页 / 共14页
电路原理移相器实验设计原理.docx_第2页
第2页 / 共14页
电路原理移相器实验设计原理.docx_第3页
第3页 / 共14页
电路原理移相器实验设计原理.docx_第4页
第4页 / 共14页
电路原理移相器实验设计原理.docx_第5页
第5页 / 共14页
点击查看更多>>
下载资源
资源描述

电路原理移相器实验设计原理.docx

《电路原理移相器实验设计原理.docx》由会员分享,可在线阅读,更多相关《电路原理移相器实验设计原理.docx(14页珍藏版)》请在冰豆网上搜索。

电路原理移相器实验设计原理.docx

电路原理移相器实验设计原理

电路原理综合实验报告

移相器的设计与测试

学生姓名:

-----

学生学号:

-----

院(系):

-----

年级专业:

------

指导教师:

-----

助理指导教师:

-------

摘要

线性时不变网络在正弦信号激励下,其响应电压、电流是与激励信号同频率的正弦量,响应与频率的关系,即为频率特性。

它可用相量形式的网络函数来表示。

在电气工程与电子工程中,往往需要在某确定频率正弦激励信号作用下,获得有一定幅值、输出电压相对于输入电压的相位差在一定范围内连续可调的响应(输出)信号。

这可通过调节电路元件参数来实现,通常是采用RC移相网络来实现的。

关键词移相位,设计,测试。

摘要13

ABSTRACTII

第1章方案设计与论证2

1.1RC串联电路2

1.2X型RC移相电路2

1.3方案比较2

第2章理论计算2

2.1工作原理2

2.2电路参数设计2

第3章原理电路设计2

3.1低端电路图设计(-45°-90°)2

3.2高端电路图设计(-90°-120°)

3.3高端电路图设计(-120°-150°)2

3.4高端电路图设计(150°~180°)

3.5整体电路图设计2

第4章设计仿真2

4.1仿真软件使用2

4.2电路仿真2

4.3数据记录2

第5章实物测试2

5.1仪器使用(电路板设计)2

5.2电路搭建(电路板制作)2

5.3数据记录(电路板安装)2

第6章结果分析2

6.1结论分析2

6.2设计工作评估2

6.3体会2

第1章方案设计与论证

1.1RC串联电路

图1.1所示所示RC串联电路,设输入正弦信号,其相量

,若电容C为一定值,则有,如果R从零至无穷大变化,相位从

变化。

图1.1RC串联电路及其相量图

另一种RC串联电路如图1.2所示。

图1.2RC串联电路及其相量图

同样,输出电压的大小及相位,在输入信号角频率一定时,它们随电路参数的不同而改变。

若电容C值不变,R从零至无穷大变化,则相位从

变化。

1.2X型RC移相电路

当希望得到输出电压的有效值与输入电压有效值相等,而相对输入电压又有一定相位差的输出电压时,通常是采用图1.3(a)所示X型RC移相电路来实现。

为方便分析,将原电路改画成图1.3(b)所示电路。

(a)X型RC电路(b)改画电路

图1.3X型RC移相电路及其改画电路

1.3方案比较

方案比较:

(1)采用X形RC移相电路:

当希望得到输入电压的有效值与输入电压有效值相等,而相对输入电压又有一定相位差的输入电压时可以采用如下图一中(a)的X形RC移相电路来实现。

为方便分析,将原电路图改画成图一(b)所示电路。

(2)RC串联电路一:

顺时针看电容C是接在电阻R的前面,可知当信号源角频率一定时,输出电压的有效值与相位均随电路元件参数的变化而不同。

设电容C为一定值,如果R从0到∞变化,则相位从90o到0o变化。

(3)RC串联电路二:

顺时针看电容C是接在电阻R的后面的,同样,输出电压的大小及相位,在输入信号角频率一定时,它们随电路参数的不同而改变。

设电容C值不变,如果R从0至∞变化,则相位从0o到-90o变化。

正确性:

设计的方案和电路与要求相符合,都是正确合理的。

优良程度:

方案优秀,各有特色。

有上述分析比较及论证可知应该选择第一种方案较好。

第2章理论计算

2.1工作原理

线性时不变网络在正弦信号激励下,其响应电压、电流是与激励信号同频率的正弦量,响应与频率的关系,即为频率特性。

它可用相量形式的网络函数来表示。

在电气工程与电子工程中,往往需要在某确定频率正弦激励信号作用下,获得有一定幅值、输出电压相对于输入电压的相位差在一定范围内连续可调的响应(输出)信号。

这可通过调节电路元件参数来实现,通常是采用RC移相网络来实现的。

2.2电路参数设计

X型RC移相电路输出电压

为:

其中

结果说明,此X型RC移相电路的输出电压与输入电压大小相等,而当信号源角频率一定时,输出电压的相位可通过改变电路的元件参数来调节。

设电阻R值一定,如果电容C值从11.4nF到3.16F变化时,则从-45°至-180o变化,此时:

当C=11.4nF时,则φ=-45°,输出电压

与输入电压

同相位

当C=3.16F时,则φ=-180°,输出电压

与输入电压

相反。

当6.26nF<=C<=249μF时,则

在与-45°与-180o之间取值。

实验中,由式

计算电容C的值,其中电阻R=2000Ω,频率为2.88KHZ,计算如下:

当角度为-450,C1=11.4nF。

当角度为-90°,C2=27.6nF。

当角度为-120°,C3=47.8nF。

当角度为-150°,C4=103.1nF。

当角度为-180°,C5=3.16F。

当角度在-45°-90°时,C=11.4nF-27.6nF。

当角度在-90°-120°时,C=27.6nF-47.8nF。

当角度在-120°-150°时,C=47.8nF-103.1nF。

当角度在-150°-180°时,C=103.1nF-3.16F。

可调电容的值ΔC1=16.1nF

ΔC2=20.2nF,ΔC3=55.2nF,ΔC4=3315896.9nF.

第3章原理电路设计

3.1低端电路图设计(-45°-90°)

3.2高端电路图设计(-90°-120°

3.3高端电路图设计(-120°-150°)

3.4高端电路图设计(-150°~180°)

3.4.整体图

第4章设计仿真

4.1仿真软件使用

Multisim是一个完整的设计工具系统,提供了一个非常大的元件数据库,并提供原理图输入接口、全部的数模Spice仿真功能、VHDL/Verilog设计接口与仿真功能、FPGA/CPLD综合、RF设计能力和后处理功能,还可以进行从原理图到PCB布线工具包(如:

ElectronicsWorbench的Ultiboard)的无缝隙数据传输。

它提供的单一易用的图形输入接口可以满足您的设计需求。

Multisim提供全部先进的设计功能,满足从参数到产品的设计要求。

因为程序将原理图输入、仿真和可编程逻辑紧密集成,您可以放心地进行设计工作,不必顾及不同供应商的应用程序之间传递数据时经常出现的问题。

4.2电路仿真

设计一个RC电路移相器,该移相器输入正弦信号源电压有效值

V,频率为2.88kHz,由信号源发生器提供。

要求输出电压有效值

V,输出电压相对于输入电压的相移在-45o至-180o范围内连续可调。

设计计算元件值、确定元件,搭建线路、安装及测试输出电压的有效值及相对输入电压的相移范围是否符合设计要求。

实验中连线接通后正确无误,数据结果截图如下:

-45°到-90°

-90°到-120°

-120°到-150

-150°到-180°

4.3数据记录

项目

0%

可调比

可调电容或电阻值(nF)

0

0

0

0

理论计算值φ(°)

-44.840

-89.935

-119.939

-149.994

波特仪测量值φ(°)

-44.846

-89.939

-119.944

-156.813

波特仪测量误差(%)

0.013

0.004

0.004

4.546

项目

50%

可调比

可调电容或电阻值(nF)

8.05

10.1

27.6

157948.45

理论计算值φ(°)

-70.284

-107.523

-139.748

-179.97

波特仪测量值φ(°)

-70.284

-107.522

-139.747

-179.98

波特仪测量误差(%)

0.000

0.009

0.007

0.005

项目

100%

可调比

可调电容或电阻值(nF)

16.1

20.2

55.2

315896.9

理论计算值φ(°)

-89.935

-119.939

-149.994

-179.98

波特仪测量值φ(°)

-89.727

-119.938

-149.966

-179.99

波特仪测量误差(%)

0.023

0.008

0.018

0.005

第5章实物测试

5.1仪器使用(电路板设计)

电阻(136Ω、377KΩ)、滑动变阻器(136Ω-377KΩ)、示波器、波特仪

5.2电路搭建(电路板制作)

按图3.4连接电路。

项目

0%

可调比

可调电容或电阻值(nF)

0

0

0

0

理论计算值φ(°)

-44.840

-89.935

-119.939

-149.994

波特仪测量值φ(°)

-44.846

-89.939

-119.944

-156.813

波特仪测量误差(%)

0.013

0.004

0.004

4.546

项目

50%

可调比

可调电容或电阻值(nF)

8.05

10.1

27.6

157948.45

理论计算值φ(°)

-70.284

-107.523

-139.748

-179.97

波特仪测量值φ(°)

-70.284

-107.522

-139.747

-179.98

波特仪测量误差(%)

0.000

0.009

0.007

0.005

项目

100%

可调比

可调电容或电阻值(nF)

16.1

20.2

55.2

315896.9

理论计算值φ(°)

-89.935

-119.939

-149.994

-179.98

波特仪测量值φ(°)

-89.727

-119.938

-149.966

-179.99

波特仪测量误差(%)

0.023

0.008

0.018

0.005

5.3数据记录(电路板安装)

测试并记录数据如下表:

第6章结果分析

6.1结论分析

在实验的过程中,自己所设计的电路通过示波器观察时,可见两列波的振幅不同,存在一定的差值,而通过老师的指导可知是自己的电压源

的选择有误,如果改为信号源,问题就可以顺利的解决。

修改正确后实验并截图,由截图可知:

在示波器的截屏中可以看到两列波是同振幅的,只是存在相位差,为

;而移相器的截图中有当频率为2.88kHz时,

为-180°。

6.2设计工作评估

分析:

实验的误差较小,可以证明对于此次实验的设计与测试是正确的。

而对于误差的来源应该就是实验的系统误差及仪器的测量误差以及电路本身的不够完美。

6.3体会

总的来说,通过此次电路实验,我的收获真的是蛮大的,学会了该软件的应用,更重要的是在此次实验过程中,更好的培养了我们的具体实验的能力。

又因为在在实验过程中有许多实验现象,需要我们仔细的观察,并且分析现象的原因。

特别有时当实验现象与我们预计的结果不相符时,就更加的需要我们仔细的思考和分析了,并且进行适当的调节。

因此电路实验可以培养我们的观察能力、动手操做能力和独立思考能力。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 经管营销 > 经济市场

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1