基于EDA技术设计电子密码锁.docx

上传人:b****6 文档编号:2804880 上传时间:2022-11-15 格式:DOCX 页数:25 大小:440.61KB
下载 相关 举报
基于EDA技术设计电子密码锁.docx_第1页
第1页 / 共25页
基于EDA技术设计电子密码锁.docx_第2页
第2页 / 共25页
基于EDA技术设计电子密码锁.docx_第3页
第3页 / 共25页
基于EDA技术设计电子密码锁.docx_第4页
第4页 / 共25页
基于EDA技术设计电子密码锁.docx_第5页
第5页 / 共25页
点击查看更多>>
下载资源
资源描述

基于EDA技术设计电子密码锁.docx

《基于EDA技术设计电子密码锁.docx》由会员分享,可在线阅读,更多相关《基于EDA技术设计电子密码锁.docx(25页珍藏版)》请在冰豆网上搜索。

基于EDA技术设计电子密码锁.docx

基于EDA技术设计电子密码锁

X本科生毕业论文

 

题目基于EDA技术设计电子密码锁

专业电子信息工程

 

姓名学号

指导教师

2017

4

20

目录

摘要2

引言3

一、绪论3

1、EDA技术简介3

2、电子密码锁的系统简介4

3、系统设计要求4

三、总体框图4

1、密码锁的组成4

2、硬件的选择4

3、总体框图5

四、功能模块6

1、输入模块6

(1)功能介绍6

(2)

(2)输入模块与仿真图形6

2、控制模块7

(1)功能介绍7

(2)控制模块与仿真图形7

3、显示模块10

(1)功能介绍10

五、电子密码锁的程序设计11

1、QuartusII软件介绍11

2、VHDL语言的特征12

3、VHDL语言的优点12

4、电路的VHDL描述13

六、总体设计电路图19

1、总体电路图19

2、波形仿真20

七、总结21

参考文献22

 

基于EDA技术设计电子密码锁

 

摘要:

随着人们生活水平的提高,密码锁作为家庭防盗卫士的作用日趋重要,它与普通机械锁相比有一些独特的优势,尤其是其保密性强、防盗性能好,可以不用钥匙,只要记住密码即可开锁。

本文以QUARTUSII作为软件平台,用VHDL硬件语言进行设计描述,基于可编程逻辑器件,并结合EDA技术的各项优势,使得高可靠性、高保密性的电子锁的设计变得简单易行。

关键词:

电子密码锁EDAVHDL

Abstract:

Withtheimprovementofpeople'slivingstandard,thelockashomesecurityguardsincreasinglyimportant,comparedwithordinarymechanicallockshavesomeuniqueadvantages,especiallyitsconfidentiality,anti-theftperformance,youcannothavethekey,justrememberThepasswordtounlock.AsasoftwareplatformtoQUARTUSIIVHDLhardwarelanguagedescriptionofthedesignbasedonprogrammablelogicdevices,combinedwiththeadvantagesofEDAtechnology,highreliability,high-securityelectroniclockdesignbecomessimple.

Keywords:

electronicpasswordlockEDAVHDL

 

引言

随着人们生活水平的提高和安全意识的加强,对安全的要求也就越来越高。

所以,人们对锁的要求甚高,既要安全可靠的防盗,又要使用方便,这也是制锁者长期以来研制的主题。

随着电子技术的发展,各类电子产品应运而生,电子密码锁就是其中之一。

电子密码锁的研究从20世纪30年代就开始了,在一些特殊场所早就有所应用。

这种锁是通过键盘输入一组密码完成开锁过程。

研究这种锁的初衷,就是为提高锁的安全性。

由于电子锁的密钥量(密码量)极大,可以与机械锁配合使用,并且可以避免因钥匙被仿制而留下安全隐患。

电子锁只需记住一组密码,无需携带金属钥匙,免除了人们携带金属钥匙的烦恼,而被越来越多的人所欣赏。

电子锁的种类繁多,例如数码锁,指纹锁,磁卡锁,IC卡锁,生物锁等。

但较实用的还是按键式电子密码锁。

20世纪80年代后,随着电子锁专用集成电路的出现,电子锁的体积缩小,可靠性提高,成本较高,是适合使用在安全性要求较高的场合,且需要有电源提供能量,使用还局限在一定范围,难以普及,所以对它的研究一直没有明显进展。

目前,在西方发达国家,电子密码锁技术相对先进,种类齐全,电子密码锁已被广泛应用于智能门禁系统中,通过多种更加安全,更加可靠的技术实现大门的管理。

在我国电子锁整体水平尚处于国际上70年代左右,电子密码锁的成本还很高,市场上仍以按键电子锁为主,按键式和卡片钥匙式电子锁已引进国际先进水平,现国内有几个厂生产供应市场。

但国内自行研制开发的电子锁,其市场结构尚未形成,应用还不广泛。

国内的不少企业也引进了世界上先进的技术,发展前景非常可观。

希望通过不断的努力,使电子密码锁在我国也能得到广泛应用。

一、绪论

1、EDA技术简介

EDA即电子设计自动化,是近几年来迅速发展起来的计算机软件、硬件、微电子技术交叉运用的现代电子学科,是20世纪90年代从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助翻译)和CAE(计算机辅助工程)的概念发展而来的。

EDA技术就是以计算机为工作平台,以EDA软件工具为开发环境,以硬件描述语言为设计语言,以ASIC为实现载体的电子产品自动化过程。

在EDA软件平台上,根据硬件描述语言HDL完成的设计文件,自动地完成逻辑编辑编译、化简、分隔、综合及优化,布局布线、仿真、目标芯片的适配编译,逻辑映射和编程下载等工作。

设计者的工作只限于软件的方式来完成对旧的硬件功能描述,要在EDA工具的帮组下,就应用相应的FPGA器件就可以得到最后的设计结果。

尽管目标系统是硬件,但整个设计和修改过程如同完成软件设计一样方便和高效。

2、电子密码锁的系统简介

通用的电子密码锁主要由三个部分组成:

数字密码输入电路、密码锁控制电路和密码锁显示电路。

(1)密码锁输入电路包括时序产生电路、键盘扫描电路、键盘弹跳消除电路、键盘译码电路等几个小的功能电路。

(2)密码锁控制电路包括按键数据的缓冲存储电路,密码的清除、变更、存储、激活电锁电路(寄存器清除信号发生电路),密码核对(数值比较电路),解锁电路(开/关门锁电路)等几个小的功能电路。

(3)密码显示电路主要将显示数据的BCD码转换成相对应的编码。

如,若选用七段数码管显示电路,主要将待显示数据的BCD码转换成数码器的七段显示驱动编码。

3、系统设计要求

本文所设计的密码锁是一个具有较高安全性和较低成本的通用电子密码锁,具体功能要求如下:

(1)数码输入:

每按下一个数字键,就输入一个数值,并在显示器上的显示出该数值,同时将先前输入的数据依序左移一个数字位置。

(2)数码清除:

按下此键可清除前面所有的输入值,清除为“0000”。

(3)密码更改:

按下此键时会将目前的数字设定成新的密码。

(4)激活电锁:

按下此键可将密码锁上锁。

(5)解除电锁:

按下此键会检查输入的密码是否正确,密码正确即开锁。

三、总体框图

1、密码锁的组成

电子密码锁,主要由三部分组成:

密码输入电路、密码锁控制电路和密码锁显示电路。

2、硬件的选择

作为电子密码锁的输入电路,可选用的方案有拨码与按键来控制输入和触摸式键盘输入等多种。

拨码与按键和触摸式3*4键盘相比简单方便而且成本低,构成的电路简单,本设计中采用拨码与按键来作为该设计的输入设备。

数字电子密码锁的显示信息电路可采用LED数码显示管和液晶屏显示两种。

液晶显示具有高速显示、可靠性高、易于扩展和升级的特点,但是普通的液晶存在亮度低、对复杂环境适应能力差的特点,但是在本设计中任然使用LED数码管。

3、总体框图

根据以上选定的输入设备与与显示器件,并考虑到现实各项密码锁功能的具体要求,与系统的设计要求,系统设计采用自顶向下的设计方案。

整个密码锁系统的总体总体框图如图3-1所示。

输入模块

寄存器与清零信号发生电路

数值比较器

LED灯

扫描电路

三选一选择器

开/关锁电路

控制模块

 

 

显示模块

图3-1电子密码锁系统总体框图

四、功能模块

1、输入模块

(1)功能介绍

输入时有三个拨码键控制输入,每个拨码各控制一位密码,对于其中一个拨码键每拨一次码按一次按键,表示输入一位,当输入四位时输出一位数,用“888”作为初始密码。

(2)

(2)输入模块与仿真图形

单脉冲控制如图4-1如下图

图4-1单脉冲控制

下图为单脉冲控制输入,当M给一上升沿信号将在PUL输出一位与之对应的高或低电平。

图4-2单脉冲控制输入

四位串行输入并行输出寄存器如下图4-3

图4-3四位串行输入并行输出寄存器

图4-4四为串行输入并行输出寄存器

上图为四为串行输入并行输出寄存器,它由4个D触发组成,当reset为高电平时,每给一脉冲输入数据将向右移一位二值代码,它能同时复位

2、控制模块

(1)功能介绍

开锁时输入密码后,拨动RT键使其为高电平,而CHANGE为低电平检测,密码正确时开锁,输出LOCKOPEN灯灭,LOCKCLOSE灯亮,表示开锁成功。

当密码输入错误时,LOCKOPEN灯亮,LOCKCLOSE灯灭,表示开锁失败。

当改变密码时,按下CHANGE键使其为高电平,而RT为低电平时,可改变密码。

按下REST可清除前面的输入值,清除为“888”。

(2)控制模块与仿真图形

输入译码器图4-5,如下图

图4-5输入译码器

下图为译码器将4位二值代码转化成BCD码从“0000”~“1001”表示0~9。

图4-6译码器

总功能控制模块图4-7,如下图

图4-7总功能控制模块

当CHANGE为高电平且rt为低电平时开始输入密码这时lockopen为高电平,而lockclose为低电平,当rt为高电平,change为低电平时开始检测密码,如上图开始密码为“108”当再次出现“108”时lockopen为高电平,而lockclose为低电平,当密码错误时lockopen为低电平,而lockclose为高电平。

图4-84选1选择器与扫描器

4选1选择器与扫描器图4-8,如下图

图4-9多路选择器

如上图多路选择器可以从多组数据来源中选取一组送入目的地,在本设计中利用多路选择器做扫描电路来分别驱动输出装置,可以将低成本消耗,如上图当输入“819”时,在时钟地控制下qout将输出“819”,而与之对应的sel扫描对应的数码管。

图4-10多路选择器波形仿真

3、显示模块

(1)功能介绍

将密码用BCD七段数码管显示

显示模块与仿真波形图4-11,如下图

图4-11显示模块

下图将BCD码转化到七段译码电路上

图4-12仿真波形

五、电子密码锁的程序设计

1、QuartusII软件介绍

QuartusII是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(AlteraHardwareDescriptionLanguage)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

  QuartusII可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。

具有运行速度快,界面统一,功能集中,易学易用等特点。

  QuartusII支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。

对第三方EDA工具的良好支持也使用户可以artusII通过和DSPBuilder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集面及简便的使用

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 工作范文 > 行政公文

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1