北华大学数字电子实习多路彩灯控制器.docx

上传人:b****7 文档编号:25958378 上传时间:2023-06-16 格式:DOCX 页数:10 大小:227.84KB
下载 相关 举报
北华大学数字电子实习多路彩灯控制器.docx_第1页
第1页 / 共10页
北华大学数字电子实习多路彩灯控制器.docx_第2页
第2页 / 共10页
北华大学数字电子实习多路彩灯控制器.docx_第3页
第3页 / 共10页
北华大学数字电子实习多路彩灯控制器.docx_第4页
第4页 / 共10页
北华大学数字电子实习多路彩灯控制器.docx_第5页
第5页 / 共10页
点击查看更多>>
下载资源
资源描述

北华大学数字电子实习多路彩灯控制器.docx

《北华大学数字电子实习多路彩灯控制器.docx》由会员分享,可在线阅读,更多相关《北华大学数字电子实习多路彩灯控制器.docx(10页珍藏版)》请在冰豆网上搜索。

北华大学数字电子实习多路彩灯控制器.docx

北华大学数字电子实习多路彩灯控制器

北华大学

数字电子实习报告

彩灯控制器的设计

 

姓名:

XXX

班级:

XX-XX-XX

学号:

XXXXXXXXX

院系:

电气信息工程学院

指导教师:

张炜

实习日期:

XXXX.XX.XX-XXXX.XX.XX

 

一、实习目的和任务

(一)、题目:

多路彩灯控制器

(二)、实习目的:

1.进一步掌握数字电路课程所学的理论知识。

2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。

3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。

4.培养认真严谨的工作作风和实事求是的工作态度。

5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。

6.熟练使用EDA技术的辅助软件(Quartus II11.0)

(三)、实习任务

1.设计一个能实现8路彩灯循环显示彩灯控制器。

2.完成如下三种花型

花型1:

从左至右顺次渐亮。

全亮后逆序渐灭。

花型2:

从中间到两边对称地逐次渐亮,全亮后仍由中间到两边逐次渐灭。

花型3:

8路灯分两半。

从左至右渐亮,全亮后,再分两半从左至右渐灭。

 

移存器输出状态编码表

节拍序号

花型1

花型2

花型3

1

00000000

00000000

00000000

2

10000000

00011000

10001000

3

11000000

00111100

11001100

4

11100000

01111110

11101110

5

11110000

11111111

11111111

6

11111000

11100111

01110111

7

11111100

11000011

00110011

8

11111110

10000001

00010001

9

11111111

10

11111110

11

11111100

12

11111000

13

11110000

14

11100000

15

11000000

16

10000000

二、实习的基本要求

实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。

因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。

(1)彩灯控制器设计要求

设计一个8路移存型彩灯控制器,基本要求:

1.8路彩灯能演示三种花型;

2.彩灯用发光二极管LED模拟;

选做:

实现快慢两种节拍的变换。

(2)课程设计的总体要求

1.设计电路实现题目要求;

2.电路在功能相当的情况下设计越简单越好;

3.注意布线,要直角连接,选最短路径,不要相互交叉;

4.注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。

三、软件介绍

QuartusII

是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(AlteraHardwareDescriptionLanguage)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

QuartusII可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。

具有运行速度快,界面统一,功能集中,易学易用等特点。

QuartusII支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。

对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。

此外,QuartusII通过和DSPBuilder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。

MaxplusII作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。

目前Altera已经停止了对MaxplusII的更新支持,QuartusII与之相比不仅仅是支持器件类型的丰富和图形界面的改变。

Altera在QuartusII中包含了许多诸如SignalTapII、ChipEditor和RTLViewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了MaxplusII友好的图形界面及简便的使用方法。

AlteraQuartusII作为一种可编程逻辑的设计环境,由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。

功能介绍

QuartusII提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:

可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;

芯片(电路)平面布局连线编辑;

LogicLock增量设计方法,用户可建立并优化系统,然后添加对原始系统的性能影响较小或无影响的后续模块;

功能强大的逻辑综合工具;

完备的电路功能仿真与时序逻辑仿真工具

定时/时序分析与关键路径延时分析;

可使用SignalTapII逻辑分析工具进行嵌入式的逻辑分析;

支持软件源文件的添加和创建,并将它们链接起来生成编程文件;

使用组合编译方式可一次完成整体设计流程;

自动定位编译错误;

高效的期间编程与验证工具;

可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文件;

四、电路设计

系统总体设计方案

针对题目设计要求,经过分析与思考,拟定以下二种方案:

方案一:

总体电路共分三大块。

第一块实现花型的演示;第二块实现花型的控制;第三块实现时钟信号的产生。

主体框图如下:

方案二:

在方案一的基础上将整体电路分为四块。

第一块实现花型的演示;第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。

并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。

主体框图如下:

根据所提供的实验器材各模块总体思路如下:

时钟信号CP电路:

参见高等教育出版社王淑银主编的《数字电路与逻辑设计》课本P404图10-3-6(a);

花型控制电路:

由1614位二进制同步计数器完成;

花型演示电路:

由194双向移位寄存器完成(可左移右移完成花型变化);

节拍控制电路:

节拍变化由151八选一数据选择器完成,节拍的快慢变化有74双上升沿D触发器完成,它可实现二分频。

总体方案的选择

方案一与方案二最大的不同就在,方案一是基于基本要求而设计的,方案二加入了节拍的变化,花型控制电路和花型演示电路的CP都是节拍控制之后的CP。

两种方案的基本思路相同,将整个设计电路的功能模块化,设计思想比较简单。

元件种类使用少,且都较熟悉易于组装电路。

这么设计的出发点是:

电路设计模块化,易于检查电路,对后面的电路组装及电路调试都很方便。

花型控制电路简单,花型也比较简单。

由于在设计的构想时期,已经确定将电路模块化,设计的过程中又已经将节拍控制电路设计出来,通过仿真软件也实现了设计要求——分频。

方案二同时完成了选做的要求,只要确保每一模块实现其功能方案二并不难也不复杂,为了确保短时间内完成课程设计和高效率,我选择了方案二。

花型演示电路设计

由二片移位寄存器194实现。

其八个输出信号端连接八个发光二极管,用其输出信号控制发光二级管的亮灭实现花型演示。

而花型之间的变化通过花型控制电路的输出即161级联的计数器输出控制(它们由同一个CP脉冲控制)。

设计所使用的元件及工具:

74LS161(四位二进制同步计数器)

74LS194(移位寄存器)

74LS151(八选一数据选择器)

74LS74(双D触发器)

74LS00(四二输入与非门)

74LS04(与非门)

发光二极管

五、原理图与仿真结果

总原理如图,整个模块由彩灯控制模块、分频计数模块、动态LED显示模块组成。

1.总原理图

图1彩灯总原理图

2.编译与仿真

文件light.v中的Verilog代码被Quartus II软件的几个工具所处理,以分析代码的语法有无错误、综合并且产生针对特定目标器件的配置文件,这些工具由Quartus软件的编译器所控制。

通过选择Processing > Start Compilation,或者点击工具栏图标。

随着编译过程的进行,Quartus II软件主窗口的左侧会显示编译的进度。

如编译成功会弹出对话框请求确认

图2编译

3.分配引脚

对整个项目的编译之后,将原理图中的输入输出引脚与实际的实验板上的核心芯片的具体引脚对应起来,这个步骤就是管脚分配,之后便可将原理图下载到硬件电路中进行实际验证。

如下图

图3分配引脚

4.程序下载

将转接板1插在箱子主板的相应位置,并将核心板插在转接板上,将USB—Blaster的一端通过排线接到核心板的JTAG口上,另一端通过AB头的USB线接到PC机的USB接口上,将电源线接到实验箱的电源插口位置。

硬件连接完成后,打开实验箱电源开关。

点击“Tool\Programmer”激活如下图的界面,设置后进行程序下载。

图4程序下载

 

六、实习心得

紧张的一周的数电实习结束了,虽然很紧张很累,但是我觉得很充实学到了很多东西。

首先是学会了数字电路系统的设计方法,掌握了Quartus II 11.0的使用方法,学会使用数电实验箱FPGA芯片来进行数字电路系统的实现,这些都为我们以后更好的学习数电打下了良好的基础。

在实习的过程中,我们还感受到了自己劳动自己创造的的成果。

所有的方面我们都需要考虑,系统的每一个细节我们都需要去认真钻研,稍微有一点差错就得不到我们想要的结果,这些都培养了我们对待科学的各种精神。

严谨、认真、创新,这些都是我们以后学习生活中需要的东西,我们一定要以这次实习为契机,深刻体会在这其中学习的东西,应用到我们的生活中去。

这次实习给我的感受很深,我希望以后还能有这样的实习机会,让我们自己设计自己创造,完成自己想要达到的效果,生成自己想要的产品。

七、参考文献

1.数字系统设计.邹彦.航空工业出版社,2007

2.电子技术实验教程.周维芳.西南交通大学出版社2009

3.电子技术基础——数字部分(第五版)康华光高等教育出版社2005

 

八、教师评语

教师评语:

 

成绩:

 

教师签字:

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 农林牧渔 > 林学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1